• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,210)
  • 리포트(4,837)
  • 시험자료(157)
  • 자기소개서(104)
  • 방송통신대(70)
  • 서식(19)
  • 논문(8)
  • ppt테마(7)
  • 표지/속지(6)
  • 이력서(1)
  • 기업보고서(1)

"시계 디자인" 검색결과 181-200 / 5,210건

  • 워드파일 국제경영 국제기업측면에서 OEM수출방식의 제조업자입장에서의 장점 및 단점 그리고 문제점을 설명하고, OEM수출방식에서 탈피한 성공 사례를 제시하시오.
    또한 발주업체는 제품 개발과 디자인을, 발주업체는 제품 생산만 담당한다. 과거 국내기업의 국제화 특성은 기업의 뚜렷한 이점 없이 진행되는 국제화로 볼 수 있다. ... 제조업체인 로만슨사는 89년부터 중동에 수출을 시작했으며 현재 미주 전역의 50여 개국에 시계를 수출하고 있다. ... 바람에 이용가능성이 중단됐다. 2) OEM 수출방식에서 탈피한 성공사례 OEM 수출방식에서 탈피한 성공 사례로 ‘로만슨’의 브랜드 성공 사례를 집중적으로 다뤘다. 1988년 설립된 시계
    리포트 | 5페이지 | 2,000원 | 등록일 2021.04.04
  • 한글파일 지식재산개론 정리
    1등록 출원 예시 병과 병마개 X 도면에 2이상 물품 표현 X 시계가 부착된 라디오 X 한글 글자체와 영문 글자체 X 너트&볼트 O 한글과 특수기호 X 찻잔&찻잔 정리 O 영문과 ... 지식을 가진자가 용이하게 창작할 수 없어야 함 -창작성 위배 사례 =>치환디자인, 합성물디자인, 배치변경디자인 ·절차적 요건 1.1디자인 1등록 출원 -디자인 등록 출원시 디자인 물품류별 ... 각 디자인권마다 분리 포기 가능 -디자인권자는 전용실시권자, 질권자 및 통상실시권자의 동의를 받아야만 포기 가능 2.디자인권 이전 -가능, 복수디자인등록된 디자인권은 각 디자인권마다
    시험자료 | 9페이지 | 2,000원 | 등록일 2022.06.05
  • 한글파일 독후감 - 유현준의 인문 건축 기행(유현준)
    스위스는 시계의 장인들이 모여있는 곳이라는데 이곳에서 시계만큼뀌고 있었다. 이 시기 스위스 태생의 르 코르뷔지에라는 건축가는 “건축이 기계가 될 수는 없을까?”라는 생각을 했다. ... 저자는 이 건축물들을 통해 건축 디자인이 무엇인지 배웠다고 해도 과언이 아니라고 말한다. ... 이 디자인을 생각한 이는 프랭크 로이드 라이트라는 건축거장으로 르 코르뷔지에와 미스 반 데어 로에와 함께 3대 건축 대장으로 꼽힌다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.08.29 | 수정일 2023.11.27
  • 한글파일 효모의 transformation 실험
    특성화 우수학과(미생물학과) 실험보고서 :: 환경 및 산업미생물학실험(캡스톤디자인) 주제 transformation 날짜 2021. 11. 15 학번 - 이름 - Figure 1. ... 4C Transformation 결과 확인 heat shock 방법을 통해 pRS316를 transformation하여 최소 배지에 배양한 결과이다. 12시 방향 plate부터 반시계방향으로
    리포트 | 1페이지 | 1,000원 | 등록일 2022.06.13
  • 한글파일 수요의 예측과 수요의 예측기법에 대해서 설명하시오
    (time-series analysis) 시계열(연 ? ... /추교완 외 1명 저, 피앤씨미디어, 2013 2018 재미있는 경영학 워크북 - 최중락 저, 상경사, 2018 조직문화가 전략을 살린다 : 안근용, 조원규 외 1명 저 / 플랜비디자인 ... 정량적 기법 1/ 시계열분석 2/ 인과형기법 IV. 수요예측의 기대효과 * 참고문헌 수요의 예측과 수요의 예측기법에 대해서 설명하시오 I.
    리포트 | 4페이지 | 2,000원 | 등록일 2019.12.24
  • 파워포인트파일 안도 다다오 - HE ART MUSEUM
    또한 전시공간으로 움직임이 확장 ( 성 ) 되어 나선의 시계방향의 동선 위계를 가지는 움직임을 갖는다 . ... 나선형 모티브의 성장하는 미술관개념 스케치 프로젝트 배경 디자인 방법론 나선 (Spiral) 빛 (Light) 물 (Water) HAM 에서 물의 매개체는 건물로 진입 이전의 둘러싸여진
    리포트 | 13페이지 | 2,500원 | 등록일 2022.11.01
  • 한글파일 영화 속 건축물 CG 분석
    담당한 벤저민 홀경(卿)의 공적을 기리기 위해 붙인 이름으로서, 원래는 종의 이름이었으나 지금은 시계를 가리키는 말이 되었다. - 114년 동안 별 고장 없이 정확한 시계의 대명사로 ... 따라서 건축설계, 지도 작성, 의약품 개발, 의복 디자인, 애니메이션, 상품광고 필름 등 여러 분야에 걸쳐 광범위하게 실용화되고 있다. ... 서론 시대가 변함에 따라 컴퓨터 그래픽(Computer Graphic, CG)이 더 세부적으로 발달하여 그 변화에 발맞춰 디자인, 애니메이션제작, 광고 및 영화제작 등에 기술의 쓰임의
    리포트 | 8페이지 | 1,000원 | 등록일 2021.12.05 | 수정일 2021.12.10
  • 워드파일 다이아몬드의 특성과 다이아몬드 브랜드 드비어스와 해리윈스턴
    깔끔한 디자인의 다이아몬드링에 HW알파벳이 양쪽으로 들어가있는 해리윈스턴만의 웨딩링이다. ... 다이아몬드 브랜드 해리윈스턴 해리 윈스턴은 100년 이상의 역사를 지닌 뉴욕을 대표하는 주얼리/시계 회사이다. ... 대신 한번 시계를 산 고객에게는 최고의 품질과 서비스를 보장하기 때문에 고객들이 자연스레 홍보역할을 한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2021.11.29
  • 한글파일 이기적인 유전자
    생물체의 처음은 누가 디자인 했을까? 하는 의문에 대해서는 도킨스는 말한다. 디자인을 누가 했다거나 목적이 있다거나 방향성이 있다는 것에 대해 생각 할 필요가 없다. ... “설계자가 있다면 눈먼 설계자, 시계공일뿐이다.” “아이에게 부모가 믿는 종교의 꼬리표를 붙여서는 안된다.” 과히 폭탄적인 발언이다. ... 그의 다른 저서 ‘눈먼 시계공’에서는 과학적 논증을 통해 신은 존재하지 않는다는 무신론을 펼치면서, 종교에 대해 문제를 제기하고 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.24
  • 한글파일 전기자동차, 휴대폰 등 각종 제품이나 서비스 중 하나를 골라서 효과적인 마케팅 믹스를 생각해보자 할인자료
    스마트 워치 역사 오늘날 디지털 시계는 수십 년 동안 사용되어 왔지만 최근 테크 기업들은 스마트 폰과 같은 기능으로 시계를 출시하는 추세다. ... 애플 워치의 가격은 디자인에 따라서 달라지지만 우리나라 시장을 기준으로 가장 저렴한 가격은 50만원이며 가장 비싼 에르메스 버전은 168만원이다. ... 기업은 자사가 가지고 있는 제품의 품목 다양성, 품질, 디자인, 특징, 브랜드명, 패키징, 부가서비스 등의 속성들을 타켓 고객 포지셔닝 목표에 맞게 조정하여 고객 만족도를 극대화 할
    리포트 | 4페이지 | 2,000원 (5%↓) 1900원 | 등록일 2023.02.04
  • 한글파일 취업률 100퍼센트인 기계공학과 지원 맞춤형 생활기록부 기재 예시입니다. 우수한 성적으로 합격한 사례이니 유용하게 사용하시길 바랍니다.
    소재의 경제성, 과학적 원리, 상용화 가능성까지 고려하여 세밀히 디자인한 후 체계적인 발표로 최고점을 받음. ... 더불어 해바라기씨도 시계 방향과 반 시계 방향으로 나선이 있는데 시계 방향으로 34개, 반시계 방향으로 55개가 있음을 설명함. ... 자연에서 볼 수 있는 피보나치수열의 예로 솔방울을 뒤에서 자세히 보면 시계 방향과 반시계 방향으로 나선이 나 있는데, 나선의 개수를 세어보면 8과 13임을 설명함.
    리포트 | 6페이지 | 10,000원 | 등록일 2024.01.06
  • 워드파일 실험설계의 유형을 제시하고, 각각의 특성을 예를 들어 서술해 봅시다.
    가실험 통제집단설계 이 실험설계는 전형적인 통제집단에게 사전사후 디자인 혹은 사후디자인에 플라시보 효과를 측정할 떄 활용한다. ... 준 실험설계 단순시계열설계 단순시계열 설계는 동일 집단 내에 여러 번의 사전 검사를 실시한 이후, 정기적으로 측정하는 방법론을 수행한다. ... 복수시계열설계 이 실험설계는 단순시계열설계에 통제집단을 추가하고, 여전히 무작위 할당을 배정하지 않는 방법을 수행하는 방법이다. 내적타당도의 문제를 개선하기 위함이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2020.05.15 | 수정일 2020.05.17
  • 한글파일 [기획론] 계획지표에 대하여 설명하시오.
    . - 그 프로그램이 어떤 영향을 미치거나 변화를 가져오도록 디자인되어 있는 지표에 대한 기술 - 성취하고자 하는 결과에 대한 적 계측인 최고정책결정자가 검토, 승인함으로써 계획으로 ... 이러한 문제들은 관련 분야의 공공통제 변화를 시계열적으로 검토하거나 사전에 설정한 표준, 이전에 설정한 계획목표, 다른 모집단과 관련된 공공통계량과 비교함으로써 인지되며, 문제의 제기는 ... 불가피하게 경험적 판단으로 설정한 목표와 가설적 인과관계에 따라 대안을 선택하게 된다. 3) 정책집행의 모니터링 과정에서의 활용 정책결정자나 정책분석가는 프로그램의 효과를 오랜 기간의 시계
    방송통신대 | 6페이지 | 6,000원 | 등록일 2023.09.16
  • 한글파일 내가 생각하는 존경하는 사업가
    아이폰의 메모 어플리케이션의 경우에는 아날로그 메모 같은 감성을 전달해주며 시계 어플리케이션 역시 기존의 아날로그 시계가 가지고 있는 시각적인 장점을 그대로 옮겨놓았다. ... 실제로 아이폰은 배터리를 분리할 수 없는 일체형 디자인을 선보였으며, 조립된 부분이 보이지 않도록 깔끔한 디자인을 선보이고 있다. ... 또한, 애플이 개발하는 IT 기기 안에서는 군더더기가 없는 디자인으로 구조의 융합을 통한 ‘Simple is the Best’라는 가치를 구현해냈다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.09.25
  • 한글파일 [대학교, 대학원 레포트] PART 9 인터넷과 인공지능, 로봇공학 사례
    이를 해결하기 위하여 무선호출기 제품을 새로운 디자인으로 변화시키고 다양한 부문의 벤치마킹을 시도함으로써 신제품을 개발하는데까지 성공하였다. 1995년까지 모토롤라의 한국 시장점유율은 ... 시작하면서 전성기를 누리던 기계식 시계로 특화된 스위스 시계 산업은 빠르게 위축되었다. ... 오메가시계 사례 1969년, 일본 시계업체 세이코가 간단한 부품 몇 개와 전지로 오차없이 구동될 수 있는 세계 최초의 ‘쿼츠’ 손목시계를 개발한 이후 미국, 일본 업체들도 잇따라 생산하기
    리포트 | 4페이지 | 2,000원 | 등록일 2021.02.13
  • 한글파일 샤넬
    샤넬은 크게 의류, 핸드백, 액세서리가 포함된 패션, 향수 메이크업이 포함된 뷰티, 그리고 시계&파인 주얼리 등 크게 3가지 상품 라인으로 구성되어 있다. ... 샤넬 CHANEL 소개 (1) 샤넬 브랜드개요 CHANEL (샤넬)은 창업자 가브리엘 샤넬에서 유래된 프랑스 브랜드로서 주로 가방, 향수, 의류, 시계, 선글라스 등을 제조 및 판매를 ... 샤넬은고급 여성복패션의 장을 개척 했다는 의미에서 역사적으로 인정받고 있는 브랜드이다. (2) 샤넬 CI분석 가브리엘 샤넬이 직접 디자인.
    리포트 | 8페이지 | 4,500원 | 등록일 2022.09.02
  • 한글파일 영국 런던 여행가이드 - 수행평가
    찰스 배리는 신고딕주의 양식에 따라 건물들을 설계하였으며, 시계탑의 디자인은 랭커셔의 스카리스블릭 홀과 같은 건축물을 설계하여 고딕 양식의 부흥에 일조한 오거스트 푸긴에게 맡겼다. ... 1834년 10월 16일 옛 웨스트민스터 궁전이 화제로 소실된 뒤 새로운 의사당의 건축을 책임지게 된 찰스 배리는 시계탑을 설계에 집어넣었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.07.28
  • 한글파일 롤렉스 ROLEX 제품분석과 경영전략과 마케팅 STP,4P전략 분석 및 나의의견
    결론 및 나의의견 롤렉스는 100여년을 걸쳐 입증된 좋은 무브먼트와 케이스, 디자인, 인지도, 정확성, 내구성, 100% 자체 생산, 거기에 특허 기술을 더해 더욱 단단하고 견고한 ... 롤렉스는 다른 고급 시계브랜드와는 달리 무브먼트의 심미성이나 복잡한 컴플리케이션 시계가 아니라, 시계의 정확도에 집중해온 브랜드이다. ... 명품 시계에선 나이.
    리포트 | 10페이지 | 4,300원 | 등록일 2020.05.20
  • 한글파일 SK하이닉스 설계 직무 합격 자기소개서
    저희 조의 주제는 ‘시간’이었고 시계를 타겟으로 잡고 시계의 변화에 대해 디자인을 했습니다. ... ‘교내 창의 디자인 캠프‘에 참가해서 주어진 주제를 창의적으로 디자인을 하는 과제를 했습니다. ... 저의 아이디어로 긴 손목과 손을 그려 시간의 흐름대로 손목에 시계를 배치해 창의성을 인정받아 2등을 차지했습니다. 3.
    자기소개서 | 4페이지 | 4,000원 | 등록일 2021.01.22
  • 한글파일 중고등학교 체육대회 계획서
    학급 깃발 제작 1) 학년별 한 학급씩을 선발하여 시상한다. 2) 투표하여 최고의 학급 깃발을 선발한다. 3) 디자인 카피 혹은 외부 업체에 디자인을 맡길 시, 자동으로 탈락한다. ... . - 총 소요 시간을 확인하여 순위를 결정한다. ④ 준 비 물 : 줄넘기 줄, 초시계 ※ 그림설명 2단계, 4단계 출발점 1단계, 3단계 출발점 4) 줄다리기(학년별) ① 대 상 ... 잡아당기고 25초간 실시한다. - 중앙선을 기준으로 자신의 팀 쪽으로 줄을 더 많이 당긴 팀이 승리한다. - 3판 2선승제로 실시한다. ④ 준 비 물 : 줄다리기 줄, 화약총, 초시계
    리포트 | 6페이지 | 2,000원 | 등록일 2023.08.19 | 수정일 2023.08.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업