• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(302)
  • 리포트(156)
  • 자기소개서(143)
  • 이력서(3)

"vhdl 프로젝트" 검색결과 201-220 / 302건

  • 한글파일 신의손) 네이버 합격 자기소개서
    지금 다룰 수 있는 프로그래밍 언어는 C와 VHDL로서, 주로 전공 관련 tool에서 코딩을 해오면서 자신감과 성취감을 키워올 수 있었습니다. ... 이 점에 있어 다양한 프로젝트를 수행하는 데, 개발언어와 tool은 필수적인 요소였습니다. ... 졸업 프로젝트 R.C.B 시뮬레이션 툴을 만들 때의 경험입니다. 먼저 팀원들과 진행했던 일정 회의는 앞으로 작업시간을 줄여줄 수 있었던 밑바탕이 되었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2014.07.19
  • 한글파일 vhdl 수업지도안
    다음을 VHDL을 이용하여 설계하시오 2. 다음을 VHDL을 이용하여 설계하시오 자신의 노트에 설계하여 제출한다. ppt 자료 컴퓨터 빔프로젝트 개별문제 해결 과제 1. ... 경청한다 읽어본다 질문에 대답한다 ppt자료 컴퓨터 빔프로젝트 강의법 강의법 문답법 전개 ?수업의 전개 5분 수업목표 1. vhdl의 정의와 특징을 설명할 수 있다. 1. ... 보며 경청한다 ppt자료를 보며 경청한다 ppt자료를 보며 경청한다 ppt자료 컴퓨터 빔프로젝트 ppt자료 컴퓨터 빔프로젝트 ppt자료 컴퓨터 빔프로젝트 강의법 강의법 단계 지도
    리포트 | 4페이지 | 1,000원 | 등록일 2008.05.09
  • 파일확장자 FPGA 보드를 이용한 4거리 신호등 설계
    압축파일에는Quartus 소스 파일들과이에 대한 설계서및 프로젝트 설명서가 담겨져 있습니다.
    리포트 | 6페이지 | 5,000원 | 등록일 2010.11.17
  • 파일확장자 AlteraMax7128을 이용한 VHDL LineTracer제작
    프로젝트의 제작 목표VHDL수업을 통하여 하드웨어 및 소프트웨어 설계 및 제작을 경험하고 결과물의 작동 및 제어를이해 및 숙달 할 수 있도록 한다.라인트레이서는 검은 바닥에 그려진 ... 프로젝트 개요두 개의 DC 모터와 적외선센서 사용하여 움직이며 트레이서가 라인의 위치를 밝고 어둠을판단하며 적외선센서를 통하여 감지하여 그 감지된 빛의 양에 따라 적절히 양쪽 DC ... 해당하는센서, 발에 해당하는 모터, 머리라고 할 수 있는 프로세서 부분의 연구가 필요하고, 또 로봇에이들을 잘 운용하고 보다 효율적으로 빨리 움직일 수 있도록 프로그램을 개발해야 한다.본 프로젝트
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.30
  • 한글파일 [합격자소서]2018,CHA 차의학전문대학원 자기소개서
    학부 시절에는 과목 프로젝트VHDL 언어를 이용한 자전거 주행 도우미 프로그램을 개발했습니다.
    자기소개서 | 6페이지 | 30,000원 | 등록일 2018.06.09 | 수정일 2024.01.02
  • 한글파일 [VHDL] Entity, Architecture, VHDL, Process문
    HDL은 Hardware Description Language의 이니셜 문자이고 하드웨어 기술(표현) 언어라고 한다.이것은 타겟 프로젝트의 동작 특성을 문법을 갖는 언어로 표현(기술 ... VHDL(Very High Speed Integrated Circuit Hardware Description Language)의 약자로 디지털 시스템을 표현하는 언어이다.VHDL은 ... 이외에도 VHDL은 하드웨어 기술 언어임에도 불구하고 일반 언어에서와 마찬가지로 순차문을 지원하고 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.05.04
  • 워드파일 현대다이모스 자기소개서
    학교에서 수행한 프로젝트 VHDL을 이용한 CPU 설계, 영상처리, 리눅스 등, 모두 어렵다고 꺼리는 과목들이었지만 저는 할 수 없다는 생각보다는 일단 시작하고 보자는 생각으로 수강하였고 ... 마지막 프로젝트까지 모두 끝낼 수 있었습니다. ... [톡톡 튀는 아이디어, 그리고 특허출원] 저는 4학년 졸업프로젝트로 '초보자를 위한 입문용 기타'를 만들었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.04.05 | 수정일 2018.05.16
  • 한글파일 [컴퓨터구조] max+2 vhdl을 이용한 16비트 기본컴퓨터 설계
    한 학기동안 VHDL 이라는 언어를 통하여 시계도 구현해보고 컴퓨터도 설계해보면서 새로운 것을 배웠다는 것이 가장 큰 수확이었습니다. ... OUTR ▲ COMMONBUS ▲ ALU ▲ SEQUENCE COUNTER ▲ 입출력부 ▲ 전체 시뮬레이션 결과 (가산프로그램) ■ DISCUSSION 16비트 컴퓨터 설계를 하는 텀 프로젝트
    리포트 | 19페이지 | 3,000원 | 등록일 2004.10.05
  • 한글파일 사학연금, 사립학교교직원연금공단, IT직, 자소서, 자기소개서 , 전산직, 공기업, 연금공단
    어려움을 겪었던 경험이 있다면 어떻게 대응하여 해결해 나갔는지 구체적으로 기술해 주십시오. * [500자 이상 ~ 1000자 이내] 대학교 3학년 전공수업이었던 컴퓨터종합설계 과목은 VHDL ... 주제는 ‘FPGA와 라즈베리파이를 이용한 지능형자동차’입니다. 1년 규모의 프로젝트를 계획하였고, 멘토가 지정되어 매달 진행상황을 보고하고, 개발해 나가는 공모전이었습니다. ... 수용하려는 개방적인 태도, 솔선수범하는 태도 관련 자격증 ○ 정보관리기술사, 컴퓨터시스템응용기술사, 정보처리기사, 정보처리산업기사, 데이터아키텍처전문가, 정보시스템감리사, 정보기술프로젝트관리전문가
    자기소개서 | 6페이지 | 6,000원 | 등록일 2017.04.07 | 수정일 2018.05.18
  • 한글파일 프로젝트 디지털 초시계입니다.
    1. 디지털 시계의 회로도 디지털 시계 회로도를 orcad로 그려보았습니다. 2. 디지털 시계에 사용되는 부품 FND 2개 74LS47 2개 74LS390 2개 74LS08 1개 NE555 1개 저항 100K, 22K 커패시터 0.01uF, 10uF 3. 디지털 시계에..
    리포트 | 7페이지 | 1,500원 | 등록일 2010.10.28
  • 파일확장자 MAX+PLUS II의 VHDL을 활용한 주차 빌딩 관리 시스템
    프로젝트는 디지털시스템설계 과목에서 자유주제로 한학기간의 프로젝트를 수행하면서 만든 작품입니다. ... MAX+PLUS II의 VHDL을 활용한 주차 빌딩 관리 시스템으로 한백전자의 EMPOS COMBO 보드에 올려 테스트까지 완료한 프로그램입니다. ... 이 프로젝트는 디지털시스템설계 과목에서 자유주제로 한학기간의 프로젝트를 수행하면서 만든 작품입니다.
    리포트 | 16페이지 | 2,500원 | 등록일 2007.12.30
  • 한글파일 VHDL을 사용한 시계
    프로젝트를 시작하며 1 이번 프로젝트VHDL기반 하에 카운터를 이용한 디지털시계를 만드는 것이다. VHDL이라는 것을 처음 접해 보기 때문에 관련된 지식이 많이 부족하다. ... 하지만, 프로젝트를 진행함에 있어 첫 단추를 채우는 방법이나 진행하는 방법 그리고 과정, 또한 VHDL이라는 새로운 것을 익힐 수 있는 매우 좋은 기회라 생각된다. ... 프로젝트를 끝마치며 12 1.
    리포트 | 13페이지 | 1,000원 | 등록일 2008.12.31
  • 워드파일 COUNTER
    프로젝트시 클럭값을 입력하는 것이 필요한데 이를 위해 C9의 사용법에 대해 공부해 보아야겠다. ▶참고문헌 이준성 외 II ... 코드 합성 Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정을 수행하도록 지원한다. ... Xilinx-ML555 실험목적 비동기 reset이 가능한8 bit-up counter와 74LS193A counter를 설계해본다 Xilinx ISE Webpack의 기능 ▶ VHDL
    리포트 | 11페이지 | 1,000원 | 등록일 2010.03.26
  • 한글파일 현대HDS 합격 자소서
    또한 OOAD 기반 소프트웨어 설계, 컴파일러 작성, VHDL을 통한 FPGA 회로 제어, 네트워크 및 웹 프로그래밍 등 주요 프로젝트에 참가하며 넓은 지식을 쌓았습니다. ... 특히 이전부터 자신 있던 웹 분야 지식은 네트워크 및 웹 프로젝트 진행에 큰 도움이 됐습니다. ... 실제로 평소 흥미를 가졌던 프로젝트에 몰두해 마감 기한이 겹친 다른 과목 시험에서 기대 이하의 성적을 거둔 적이 있습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2013.07.20 | 수정일 2015.02.14
  • 한글파일 반가산기, 전가산기, 4비트 전가산기, 전가감산기 설계 (자일링스)
    프로젝트가 요구하는 것은 회로가 정확히 구현되는 것이 아니라 회로종류와 제어신호에 의해 결과값이 어떻게 바뀌는 것인지를 스스로 알기를 바라는 프로젝트인 것 같다. ... 설계 및 구현의 검증을 위해 Verilog HDL 또는 VHDL을 통한 시뮬레이션을 수행하고, 정상적을 동작하는지를 확인한다. ◆ 설계 과정 기초가 되는 반가산기를 시작해 이를 토대로 ... 제 생각에 이 프로젝트는 토의없이 개별적으로 해봐야한다고 생각한다.
    리포트 | 16페이지 | 2,000원 | 등록일 2011.12.10
  • 파일확장자 VHDL을 이용한 비만도 체크 체중계
    VHDL - 전체적인 회로도 분석, 설계 역할 분담 조원 모두 참여 090520~ 090525 VHDL 언어의 사용 방법 습득 - VHDL을 이용한 회로 설계 방법에 관한 필요한 사항을 ... 사용된 툴 :MAX+Plus II 담당교수 : 박XX 교수님 학과 : 전자시스템 공학 참가인원 / 학번 : 김XX(X0329290) 김XX ... 김기정 코드 작성, 시뮬레이션, 아이디어 도출, 자료수집. ..PAGE:5 구분 내용 비고 090519 ~ 090520 프로젝트 진행일정 회의 - 기능, 역할 분담 등 전체적인 방향
    리포트 | 29페이지 | 4,000원 | 등록일 2009.07.05
  • 한글파일 케피코(kefico) 공채 합격 자기소개서
    진행 프로젝트 및 수행업무 200 자 논리회로설계에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론에서는 C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 ... 학교 수업에서 종종 프로젝트에 투입될 때면 그 밖의 것을 까맣게 잊어버리고 몰두하기도 합니다. 부탁을 거절하지 못합니다. ... 증폭기 설계, 전동기 제어 등 모두 문제만 해결하면 된다는 생각을 버리고 어떻게 해결하느냐가 중요하다고 배운 프로젝트들입니다. 1.입사지원동기 및 성격의 장,단점500 자 어려움
    자기소개서 | 2페이지 | 3,000원 | 등록일 2012.10.08
  • 워드파일 VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    Snapshots 탭에는 현재 프로젝트 네비게이터에서 열린 프로젝트와 관련된 snapshot들이 나타나는데, 이 snapshot이란 사용중인 디렉토리(서브디렉토리를 포함한)의 모든 ... 우리는 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 즉 VHDL 코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인(functional) 관점을 시뮬레이션하기 위한 Synthesis와 실제 시간적인
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    어쨌든 프로젝트를 한번 시작하면 그 상태로 끝까지 해야만 제대로 된 결과값을 얻을 수 있는 것이었다. ... 즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션 ... 실험 목적 이번 실험에서는 Xilinx ISE 9.2i프로그램 설치 및 프로그램 조작법에 대한 능력을 기르는 것에 초점을 맞추고, Xilinx ISE 9.2i프로그램을 사용하여 VHDL
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • 워드파일 두산중공업 (대졸신입) 합격 자소서입니다.
    프로젝트 문제해결 능력 논리회로설계에서 VHDL을 사용한 전자시계 반도체를 설계, 소프트웨어개론에서는 C language과 자료구조를 사용한 미로 찾기 프로그램, 전자회로 증폭기 설계 ... 전공 프로젝트를 통하여 문제해결 능력을 많이 키웠지만 창의적인 사고를 개발하고자 전공 외 을 수강하였습니다. ... , 전동기 제어 등 모두 문제만 해결하면 된다는 생각을 버리고 어떻게 해결하느냐가 중요하다고 배운 프로젝트들입니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2012.09.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 09일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:29 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기