• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(754)
  • 리포트(605)
  • 자기소개서(118)
  • 논문(24)
  • 시험자료(5)
  • 이력서(1)
  • ppt테마(1)

"dc모터 제어 설계" 검색결과 1-20 / 754건

  • 파일확장자 DC모터의 위치 제어설계
    서론1) 설계주제DC 모터의 위치 제어설계2) 설계 목적servo motor의 gain과 작동 시간 등의 효율을 높이기 위해 제어기를 추가 설계하고 모터의 생산성과 내구성을 비교하여 ... 생산성과 내구성 : 1) 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다. 2) 모터를 가능한 오래 사용할 수 있는 제어기를 설계해야 한다. ... 경제적 제한조건 : 모터에 인가되는 증폭기의 용량을 가능한 적게 하여 비용을 낮게 한다. (K값을 가능한 적게) b.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.09
  • 한글파일 DC 모터의 위치 제어설계
    설계목적 1) 설계주제 DC 모터의 위치 제어설계 2) Servo motor 전달함수 서보모터는 서보기구의 조작부로서 최종 제어요소에서 입력신호에 응답해 조작부의 기계적 부하를 ... 모터를 가능한 오래 사용할 수 있는 제어기를 설계해야 한다. ... 설계목적 1) 설계주제 2) Servo motor 전달함수 2.
    리포트 | 13페이지 | 2,000원 | 등록일 2021.06.07
  • 파일확장자 DC모터의 위치 제어설계(제어시스템공학설계)
    결과적으로 설계 제한 요소(damping ratio를 0.4~0.7, 오버슈트 4.6~25.4%, settling time  1/30 미만, phase margin  ... 제어기는 제어기보다 가격적인 측면 에서 비싸다고 판단하였기에 제어기가 제일 적합하다고 생각한다. ... 처음 최대한 증폭기의 용량을 적게 그리고 비용을 적게 하려고  제어기로만 사 용했으나 settling time인 1/30sec보다 작게하는 조건에 맞지 않았다.  제어기는 오버슈트가
    리포트 | 7페이지 | 2,000원 | 등록일 2023.05.07 | 수정일 2023.10.23
  • 워드파일 제어시스템 설계 : DC모터의 위치 제어설계
    설계 A목적 :DC모터의 위치 제어설계Root locus method 제한조건1) 증폭기의 용량 즉 K값을 가능한 적게 하여 비용을 줄인다.2) 가능한 빠른 시간내에 목표치에 도달하도록 ... (over shoot적게)Matlab app을 이용하여 PD제어기를 통한 PID제어기 튜닝.Response time은 빠를수록 좋으나 빠르면 빨라질수록 k값이 증가하여 제어기의 ... 일때에,Settling time=0.323초기조건 Ts
    리포트 | 5페이지 | 1,000원 | 등록일 2019.12.02 | 수정일 2019.12.05
  • 파워포인트파일 Matlab을 이용한 DC모터 P제어설계
    Matlab 을 이용한 DC 모터 P 제어기 설 계 DC 모터 설계 전달함수를 통한 DC 모터 각속도와 출력각도 제어 P 제어기를 이용한 %OS, 정상상태 오차 ( ess ), 상승시간 ... ( Ts ) 제어 DC 모터 모델링 DC 모터는 전기 에너지를 회전 기계에너지로 변환시키는데 , 모터의 회전자에 발생된 대부분의 토크가 외부 부하를 구동하는 데 쓰인다 . ... 미분방정식 전달함수 방정식 - 전압입력에 대한 출력각도 ( 위치 ) 제어 전달함수 - 전압입력에 대한 속도 제어 전달함수 속도제어 Gc (s) 시뮬레이션 정상상태 오차 e ss =
    리포트 | 10페이지 | 1,000원 | 등록일 2017.07.19
  • 한글파일 pid제어기를 이용한 dc motor 설계
    PID제어기를 이용한 DC Motor 속도제어 ? ... 앞서 PID제어기를 이용한 DC 모터 속도제어에서는 D의 값을 0으로 하여 약간의 오버슈트가 발생하였으나 이번에는 D제어까지 사용하여 오버슈트를 없앴다. ? ... 주어진 모델의 재원 및 회로구성 3번 모델 : 5HP , Va=500V , 1750rpm , Vf=300 3번 모델 재원 값을 DC motor function block에 넣어준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.09.04
  • 한글파일 자동제어 2 설계 프로젝트 - dc motor modeling (matlab)
    자동제어2 설계 dc motor modeling *목차 ① DC모터의 스텝응답을 통한 FOTD 모델링 ② ILM을 통한 PI제어설계dcmotor gui를 통한 dc모터 제어 ... 제어 ①ILM으로 설계한 PI제어기의 파라미터 값을 CODEWARRIOR에 넣고 DC모터를 구동한다 ②MATAB에서 DCMOTOR.GUI를 실행시켜 DC모터제어한다. ... DC모터제어됨을 알수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2014.11.21 | 수정일 2015.10.21
  • 한글파일 Matlab을 이용한 DC모터 제어설계
    Matlab을 이용한 DC모터 제어설계 및 성능평가 설계사양 ● Overshoot 16%이내 ● 0.04초 이내의 정정시간 ● 정상상태 오차 없음 ● 제어 입력은 전압, 제어 ... 대상은 DC 모터의 위치 ● PID를 설계하여 위치 제어를 할 것 제어 파라미터들 Symbol Definition Value J 관성모멘트 3.2284e-6[kg·m2/s2] B 댐핑 ... PID 설계 위치 제어 전달함수가 식5와 같음을 구했다. PID제어시스템은 다음 그림과 같은 구성을 가진다. 그리고 PID컨트롤러는 다음과 같은 전달 함수를 가진다.
    리포트 | 11페이지 | 3,000원 | 등록일 2009.11.30
  • 한글파일 제어공학 DC모터 PID설계
    제어공학Ⅰ (기말텀프로젝트) DC Motor의 위치 제어설계 메카트로닉스공학부 1. 이 시스템에 대하여 다음 제어목표를 달성하도록 주파수 영역 제어기를 설계하라. ? ... 스텝응답의 정상상태 오차 10%이하 및 정착시간 0.5초 이하, 최대초과 시간10%이하로 주어진 모든 제어목표를 달성하고있다. 2.위 제어목표를 달성하도록 PID제어기를 설계하라. ... 따라서 앞섬보상기와 뒤짐 보상기를 써서 이문제를 히결하도록한다. ◎ 앞섬보상기 설계 단위되먹임 제어시스템의 정상상태 오차 입력 형 계단 입력 경사 입력 포물선 입력 0형 시스템 1형
    리포트 | 8페이지 | 1,000원 | 등록일 2008.06.11
  • 파일확장자 [전기.전자.제어세스템.설계] DC-MOTOR Compensator, DC-MOTOR의 위치 제어설계
    ① ζ값 설정 - 위의 조건 중 2-2)을 만족하기 위해서 P.O가 제일 작은 값인 ζ가 0.8로 결정.② ζωn설정, ZERO의 위치변경 1. ζωn의 값이 160인 경우zero위치 : -20인경우numc=[1 20];denc=[1 280];sysc=tf(numc,d..
    리포트 | 10페이지 | 3,000원 | 등록일 2011.07.17
  • 워드파일 DC 모터와 Microcontroller를 사용한 위치 제어 시스템 설계 및 구현
    Problem Statement DC 모터와 Microcontroller를 사용하여 6층 건물을 위한 엘리베이터 위치 제어 시스템을 설계 및 구현한다. ... Purpose 이번 실험의 목적은 엘리베이터 위치 제어 시스템 구현을 위해 Microcontroller(이 실험에서는 ATmega128)을 사용하여 DC 모터제어하는 것이다. ... 사용하여 얻은 위치 신호를 feedback 받으며 원하는 위치로 제어하기, 4) 엘리베이터를 동작시키기 위한 적절한 feedback 위치 제어기를 설계하기 와 같은 단계를 포함한다.
    리포트 | 18페이지 | 4,000원 | 등록일 2010.06.02
  • 파워포인트파일 matlab를 이용한 DC모터 제어설계PID
    DC Motor의 위치 제어설계 성명 스텝응답의 정상상태 오차 : 10% 이하 정착시간 : 0.5초 이하 최대초과 : 10% 이하 제어목표 시정수와 이득 구하기 주파수 영역 설계 ... 증가 : 미분제어 효과 PID 설계 PID제어 PID 설계 PID제어 Kp=25, Kp=10일 때 근 궤적 PID 설계 PID제어 PID 설계 PID제어 PID 설계 KP Kds ... P제어기 PID 설계 PID 설계 PI제어기 루쓰-허위쯔 PID 설계 PI제어와 단위 되먹임 비교 파:PI제어 녹:단위되먹임 하:K=5 되먹임 PID 설계 감쇠비가 원래 값 0.1911로부터
    리포트 | 26페이지 | 1,500원 | 등록일 2008.06.08
  • 한글파일 DC 모터의 디지털 제어 - 에뮬레이션에 의한 설계
    motor ( PITTMAN-Motor / Model GM9413G699) 위 파라미터로부터 무부하 상태에서의 직류모터의 2차 전압전달 함수는 = = = = = = 직류모터의 플랜트 ... 2007학년도 1학기 자동제어 디지털 제어설계 Report 디지털 제어-에뮬레이션에 의한 설계 1. 비례-적분 제어기 2. 비례-미분 제어기 3. ... 다음은 디지털 제어기를 설계할 차례이다. 2. 디지털 제어 : 비례-적분 제어기 비례-적분, 비례-미분, 비례-적분-미분 디지털 제어설계를 하고자 한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2007.07.18
  • 한글파일 [공학기술]DC 모터를 위한 디지털 제어설계
    공학사학위 논문 서기 2007학년도 DC 모터를 위한 디지털 제어설계 (하드웨어부) 서경대학교 이공대학 ... 전 자 공 학 과 안 광 철 공학사학위 논문 DC 모터를 위한 디지털 제어설계 (하드웨어부) 2007年 ... 머신 등 고속, 고정밀, 고정도의 제어를 요구하는 장비들은 전자 제어 기술과 기계 설계기술의 첨단기술에 속한다.
    리포트 | 41페이지 | 2,000원 | 등록일 2007.05.07
  • 한글파일 [자동제어(PID)]SIL(Software In the Loop)을 이용한 DC모터 위치제어시스템 설계
    실험 보고서 실험명 SIL(Software In the Loop)을 이용한 DC모터 위치제어시스템 설계 과목명 자동제어(1) 실험원 학과 학번 성명 지능기계 제출일 2006. 6. ... 제어설계 (1)실험(시뮬레이션)환경 - PSIM 6.1 - Matlab 7.2 (2)제어대상(Plant)의 전달함수 ( ) ( ) ( ) (3)설계하려는 제어기의 설계 방법 - ... 결론 모터의 전달함수를 구하고 그것이 일치 하는가 하지 않는가를 알아보았고 일치하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.06.09
  • 한글파일 충북대학교 전자공학부 전자회로실험II 결과보고서 PWM을 이용한 DC 모터 속도 제어
    목적 주어진 규격 및 제한사항을 만족하는 개루프 DC모터 속도 제어기와 측정기를 설계하고 제작한다. ... 규격 및 제한사항 (1) 규격 - DC 모터의 속도 제어 범위 : 25±20rps (revolution per second) - DC 모터 속도 제어 해상도 : 1rps 이하 - DC ... 비고 및 고찰 이번 실험은 DC 모터 속도 제어를 하고 Counting해서 7-세그먼트로 확인하는 실험이었다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.30
  • 한글파일 부산대 어드벤처디자인 결과보고서 3주차 A+보고서 1등보고서
    모터 드라이버(L293D)는 DC 모터의 순방향/역방향 제어와 속도 제어 기능을 한다. 그림 1. ... 서보 모터 제어 서보 모터는 피드백 제어 회로를 추가하여 회전 각도 제어가 가능한 DC 모터이다. 서보 모터의 인터페이스는 VCC, GND, 제어선으로 구성된다. ... 본 실험에서는 아두이노 보드를 이용해서 DC 모터와 서보 모터제어하는 방법을 실습한다. 2. 실험 이론 2-1.
    리포트 | 6페이지 | 1,500원 | 등록일 2022.03.24
  • 한글파일 [보고서1등] DC Motor 구동 및 DAQ (예비) [A+] 아주대 기계공학응용실험
    BLDC 모터는 구조적으로 DC 모터와 역의 관계로 설계되어 있으며 그 구동원리는 근본적으로 같다. (2) RTW (Real Time Windows Target) RTW는 실시간 시스템을 ... - 예비 보고서 - 실험 제목: DC Motor 구동 및 DAQ 과목명 : 기계 공학 응용 실험 제출일: 실험일자: 실 험 조 명 : 책임 수행자 : 공동 수행자 : 형 식 / 2 ... 또 이번 실험에서 사용하게 될 BLDC모터DC모터와의 차이점에 대해 조사에 해보고 기본적인 동작 방법을 이해한다.
    리포트 | 4페이지 | 2,500원 | 등록일 2019.09.05
  • 한글파일 아주대학교 자동제어실험 8번 실험 / 위치제어시스템 / 예비보고서
    Specification 엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... 비례 제어 시스템 설계 1. ... 미분 제어 시스템 설계 1.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 한글파일 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 결과보고서
    Specification 엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... 적분 제어 시스템 설계 비례 - 적분 제어 시스템 출력 블록도 비례 - 적분 제어 시스템 출력 결과 오버슈트 M _{p} =`e ^{{- pi zeta } over {sqrt {1- ... Encoder) Performance 26 Poles Magnet 26 P/R 헬리컬 기어 타입, 1/50의 감속비 정격 토크 6Kg-cm 순간 허용 토크 18Kg-cm Installed Motor
    리포트 | 9페이지 | 1,000원 | 등록일 2022.03.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업