• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(847)
  • 리포트(812)
  • 시험자료(17)
  • 논문(9)
  • 자기소개서(8)
  • 서식(1)

"counter 설계" 검색결과 1-20 / 847건

  • 워드파일 논리회로설계실험 9주차 counter설계
    flop을 이용하여 설계한 Ring counter의 schema된다. ... 이런 작동이 반복되어 D flip flop을 이용한 ripple counter 설계가 가능한 것이다. 2.2) Ripple counter (JK 서 알 수 있듯, 가장 왼쪽의 JK ... 마지막으로, 구현한 counter들이 정상적으로 작동하는지 확인하기 위해 Modelsim의 simulation을 이용하여 출력 wave를 확인하였는데, 그 결과 설계한 cou다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 베릴로그 1-digit BCD counter 설계
    디지털시스템설계 #5 Report 2018. 6. 6 제출 실험목적 위 그림과 같은 입, 출력값을 가지는 2-digit BCD counter설계하는 것이 이번 실험내용. ... TCup,TCdown 부분을 순차회로로 설계할 시 한 클럭이 지나고 그제서야 값이 반영되므로 값이 한 클럭 뒤로 나오는 현상이 있음. ... 먼저 위의 블록도를 가진 1-digit BCD Counter 코드를 아래와 같이 설계함. 1-digit BCD Counter 소스코드 inc연산일 땐 Q가 9일땐 Q를 0으로 설정하고
    리포트 | 21페이지 | 2,500원 | 등록일 2021.04.09
  • 워드파일 논리회로설계실험 10주차 up down counter설계
    설계해보며 이전 실습시간에 다루었던 counter를 복습할 수 있는 기회가 되었다는 점에서 이번 실습의 의의가 있다. ... Mealy machine으로 설계한 module은 OUT이 현재 State와 MODE 둘의 조합에 따라 결정되므로 320ns에서 바로 up counter의 동작이 OUT으로 나타나는 ... 강의내용에서 다룬 두가지 machine의 기본적인 modeling방식과 작동원리를 참고하여 설계할 것이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 카운터설계(counter) 예비
    _{(2)}이므로, 1010 _{(2)}가 되는 경우에 clear시키기 위해 4-input NAND GATE ,2-input AND GATE를 하나씩 이용했다. ... 아날로그 및 디지털회로 설계 실습 13주차 예비: 카운터 설계 전자전기공학부 20160000 하대동고릴라 1. 4진 비동기 카운터 이론부의 그림 14-2의 비동기식 4진 카운터에 1MHz의 ... 단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.23
  • 한글파일 VHDL을 이용한 Simple up counter설계
    ; ENTITY tb_up_down_counter_vhd IS END tb_up_down_counter_vhd; ARCHITECTURE behavior OF tb_up_down_counter_vhd ... ◎Simple up counter 1) 소스코드 ① simple_up_counter library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... 사용 할 수 있게 정의되있는 데이터를 불러옴 ◀ up_counter를 구성하는 포트 설정 ◀ 위에 설정해둔 up_down 포트 ◀ signal 정의 ◀ up_down_counter
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    Up/down counter의 Verilog code이다 2. 조교님이 올려주신 testbench code이다 3. FPGA보드에 연결하여 Upcount부터 실행하여 보았다. ... 단순히 counter로도 이런 복잡해 보이는 것을 응용하여 만들 수 있다는 게 신기하고, 유용하다는 것을 깨달았다. ... Green, yellow, red 순으로 바뀌는데 사이사이의 시간은 5초, 3초, 2초로 바뀌게끔 counter가 5번지나면 green에서 yellow로 바뀌는 이론을 이용하여 coding을
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • 한글파일 VHDL을 이용한 Simple up down counter설계
    ; ENTITY tb_up_down_counter_vhd IS END tb_up_down_counter_vhd; ARCHITECTURE behavior OF tb_up_down_counter_vhd ... ◎Simple up down counter 1) 소스코드 ① up_down_counter library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... ◀ 200~300에 값을 초기화 하고 30000 이후에 down_counter로 동작. 2) 파형 3) 합성 schematic
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 Flowrian Tool을 이용한 4bit counter 설계
    이렇게 설계를 하게 되면 총 8bit의 counter설계 되고 이는 아래와 같은 결과를 가지게 된다. ... Flowrian Tool을 이용한 4ibt counter 설계 1. 도식도 4개의 half adder와 D-flipflop을 이용하여 설계 하였다. ... 4의 배수에 해당하는 counter을 추가적인 설계 없이 간단히 구현할 수 있다. 4.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.09.01
  • 파일확장자 [디지털논리회로실험]텀프로젝트 - Finite state machine과 counter를 이용한 메시지 전송기 설계(FPGA)
    Flip-flop 회로로 구성한 2진 counter나 n진 counter, ring counter, Johnson counter등이 있고, 10진수로 변환하여 표시한다. ... 설계 전체 회로3. 사용 세부 회로
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2014.06.29
  • 한글파일 D-FF을 이용한 8bit counter 설계
    D-FF을 이용한 8bit counter설계하라 (구조적 모델링) LIBRARY ieee; USE ieee.std_logic_1164.ALL; entity upcounter8 ... (1), cval_b(2), cval(2), cval_b(2)) ; d3 : DFF port map (cval_b(2), cval_b(3), cval(3), cval_b(3)) ; ... cval_b(0)) ; d1 : DFF port map (cval_b(0), cval_b(1), cval(1), cval_b(1)) ; d2 : DFF port map (cval_b
    리포트 | 2페이지 | 1,500원 | 등록일 2008.12.07
  • 한글파일 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    Conclusion 이번 설계는 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counter로 binary와 gray counter설계하는 ... 1; end if; end if; end process; --clk을 구성하는 부분이다. fsm counter설계된 부분이다. process( clk_d, rst, mode ) ... Introduction VDHL의 순차 논리 회로 설계에서 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counter로 binary와 gray
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 [asic] vhdl을 이용한 counter 설계
    하지만 실제 실험은 BCD code가 아닌 5bit up-counter와 5bit down-counter를 VHDL을 이용해 설계할 것이다. ... VHDL code와 파형 *up-counter *down-counter 3. ... 위의 couter는 4bit BCD up-counter를 나타낸 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2004.05.03
  • 한글파일 max plus II 를 이용한 4비트 Synchronous Up-Down counter 설계
    ** 4Bits Synchronous Up-Down counter 설계 # JK FlipFlop (( Graphic Editor)) ((Waveform Editor )) # D FlipFlop
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.07
  • 한글파일 [전자계산기설계] counter
    // Verilog Code : 4-bit Up/Down Counter module cnt4(d, up, ld, ce,clk, rst, q); input [3:0] d; input ... up, ld, ce, clk, rst; output [3:0] q; reg [3:0]q; always @(posedge clk or negedge rst) begin if(! ... rst) q = 4'b0; else if(ld) q = d; else if(ce) begin if(up) q = q + 4'b1; else q = q - 4'b1; end else
    리포트 | 1페이지 | 무료 | 등록일 2001.11.17
  • 파일확장자 [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    =Ripple counter): 한 플립플롭의 상태 변화가 다 른 플립플롭을 트리거한다. ... 실험 목적Counter에 대해 이해하고 10진 카운터를 설계할 수 있다.Chapter 2. ... ON/OFF가 교차되는 스위치에 사용되는 회로로 카운터 회로에 주로 사용된다.* Counter - 순차 회로는 상태를 순서대로 순환시킨다. - 동기식 카운터(Synchronous counter
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 6 실습 6은 4-bit up-down counter를 2개로 병렬로 구성하는 모듈을 작성하는 것이다. ... 공용하고 common단자를 스캔하는 방법으로 만든 설계 구조이다. ... 실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 워드파일 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    따라서 이 counter는 원래 4-bit counter이지만, 3-bit counter로서 동작하게끔 변형했다는 것을 알 수 있다. ... STEP 13: CB4RE는 4-bit counter인데, Q3를 Reset에 인가하여, 3-bit counter로 동작하게 된다. ... 일단 8-bit counter인 CB8CE를 사용한다면, clock의 frequency를 8M/(28), 대략30kHz로 줄일 수 있다.
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 워드파일 VHDL을 통해 구현한 Counter
    1.목적(Purpose) 이번실습은 지난번 실습에서 배운 FlipFlop을 이용하여 3bit의 counter설계하는 실습이다. ... 아래의 그림은 J-K플립플롭으로 설계한 4비트의 counter이다. 0000~1111까지 클럭이 발생할 때마다 비트수가 1씩 커지는 상향식 counter의 구조와 타이밍도를 나타낸 ... Mode를 1비트의 변수로 입력하여, mode가 0일때와 1일때의 동작이 달라지도록 설계한다. Counter의 방식은 숫자가 1씩 커지는 상향식 counter이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 울산대학교 디지털시스템 기말고사
    UP의 0,1로 UP카운터와DOWN카운터로 컨트롤 2. 8 bit Johnson counter를 D flip flop을 사용해서 설계하시오. 3. 8 bit shift right register를 ... D flop flop을 이용하여 설계하시오. 4. half adder와 full adder를 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오. ... Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001)+9(1001)+C=19(1001),(C=1) Test3. 2(0010)+3(0011)=
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    응용 과제(총3문항) ● 4-bit up counter설계하시오. ... 제대로 반영이 안돼서 오류가 났었다. => simulation => 실습을 위한 PIN번호 설정 reset이 57번 핀에 배치되어있다. ● Design a 8-bit up/down counter ... 참고문헌 전자전기컴퓨터설계실험 교안 lab07
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업