• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,161)
  • 리포트(1,077)
  • 시험자료(40)
  • 논문(17)
  • 자기소개서(13)
  • 방송통신대(12)
  • ppt테마(2)

"I/O포트" 검색결과 141-160 / 1,161건

  • 한글파일 아동간호 의학용어 모음
    Immunosuppression 면역억제 Eczema 습진 Inguinal hernia 서혜부 탈장 Inspection 시진 Intermittent infusion port 간헐적 주입포트 ... cervical disc HDD; hemodialysis diet HEENT; head, ear, eye, nose, throat HTN; hypertention Hx; history I& ... left inguinal hernia LL; lymphocytic leukemia LVH; left ventricle hypertrophy ML; malignant lymphoma M.O
    리포트 | 6페이지 | 1,000원 | 등록일 2020.01.15
  • 워드파일 [에리카A+] 마이크로프로세서응용 Lab4 Characteristic LCD
    O 포트를 특수기능 모드로 설정 XMCRA = 0X40; // 외부 메모리 섹터 설정 XMCRB = 0X80; // 외부 메모리 섹터 설정 // LCD 초기화 FunctionSet( ... count = 0; int stChar = 0; int main(void) { // Register 초기화 DDRG = 0x00; // SFR 초기화 MCUCR = 0x80; // I/
    리포트 | 10페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 한글파일 정보처리기사요약(3.운영체제)
    방법. ㉠ 동시 I/O체제㉡ I/O와 다른 작업을 중복 수행㉢ 빠른 수행시간 3. ... (각 시스템은 자신만의 운영체제와 메모리를 가지고 있다.) 3) 다중 처리기 상호연결 방법 ① 시분할 공유 버스 : 프로세서, 메모리, I/O장치 등이 하나의 버스를 통해 연결. ② ... 크로스바 교환 행렬 : 공유 버스 시스템에서 버스의 수를 증가시킨 구조. ③ 다중포트 메모리 : 크로스바 교환 행렬과 시분할 공유 버스를 혼합한 형태. 2.
    시험자료 | 12페이지 | 3,500원 | 등록일 2021.05.24
  • 한글파일 케미컬탱커에 관한 연구(실습항해사용)
    해양실무지식 향상을 위한 학습(항해사실무 과제) 케미컬탱커에 관한 연구(실습항해사용) A study on Chemical Tnakers(For A/O) 2018년 10월 최초작성 2020년 ... 이 홀을 게이징 홀 이라하고 이 홀에 U.T.I를 결합시켜서 측정을 하게 된다. ... 여기서는 부두 담당자(일명 포트 마스터라 불림), 서베이어, 본선 1항사의 서명 및 본선 스템프가 첨부되어 있다.
    리포트 | 71페이지 | 1,000원 | 등록일 2020.07.27 | 수정일 2021.04.20
  • 파워포인트파일 [마이크로컨트롤러]10th_USART
    직렬통신 _USART 2 직렬 통신 ▶ 한번에 한 개의 비트만을 전송 ▶ 동기식 (synchronous) 과 비동기 (Asynchronous) 전송 ▶ 통신 방식 I/O - 단방향 ... 장치관리자에서 통신 포트 검사하여 선택 실습하기에 앞서 _ 하이퍼 터미널 사용법 17 4. 통신 프로토콜 설정 하이퍼 터미널 화면 5. ... 클럭인 동기 클럭 신호선이 있음 ▶ 데이터를 기준 클럭에 동기 시켜 순차적으로 송수신함 ▶ 근거리 고속의 데이터 전송에 사용 ▶ 대표적인 통신방식 : TCP , USRT, TWI(I
    리포트 | 25페이지 | 1,500원 | 등록일 2022.10.10
  • 한글파일 응용전자공학 실험및설계 - ROM과 DAC를 이용한 신호의 재생 실험보고서
    }} over {R _{A} +R _{B}} -R)V _{out} : 치환 beta `=` {alpha R _{A}} o함. ... 먼저 ① VC1 < 1/3VCC인 경우, NE555의 2, 3번 포트 전압은 1/3VCC보다 작으므로 반전 비교기에서는 HIGH 값이 출력되고 비반전 비교기에서는 LOW 값이 출력된다 ... 예비보고서 I. 제목 : ROM과 DAC를 이용한 신호의 재생 II.
    리포트 | 35페이지 | 2,500원 | 등록일 2022.05.25
  • 파워포인트파일 상급정맥주사
    O, FBS, lab, catheter insertion site monitoring 모니터링 항목 추천 측정시기 체중 및 I/O 가능한 매일 혈당 매일 전해질 : BUN, creatinine ... 색전과 같은 드물지만 치명적 합병증 중심정맥관의 제거는 혈액배양검사를 통해 중심정맥관 관련 혈류감염이 확인되거나 삽입 부위의 상태에 따라 제거할지 결정 중심정맥관의 커프나 이식형포트가 ... 미량원소 : 필요 시 즉시 시작 : 서서히 증가 (48-72hr 에 걸쳐 ) 중단 : Tapering Monitoring 합병증 조기에 발견하고 대처하기 위해 BW, V/S, I/
    리포트 | 105페이지 | 5,000원 | 등록일 2021.10.04
  • 한글파일 [2023년도 대비] 기본간호학 모의고사 1회
    I/O 확인 ? 복부타진 ? 백혈구 수치 ? 통증과 양상 20. 수혈 전 반드시 실시해야 하는 사전검사로 가장 중요한 것은? ? AST ? RH type ? ABO type ? ... 전립선암을 앓고 있는 74세 대상자가 4주 간격으로 외래에서 항암화학요법을 실시하기로 한 경우 ① 간할적 정맥장치 ② 피하이식형 포트 ③ 터널형 중심정맥 카테터 ④ 비터널형 중심정맥
    리포트 | 2페이지 | 1,000원 | 등록일 2022.02.01
  • 한글파일 A+ 아동실습 컨퍼런스 아동간호학 case conference leukemia 백혈병 감염위험성
    매일 I/O, body weight check 한다. (이론적 근거: 대상자의 영양 상태를 파악해 그에 맞는 간호를 제공하기 위함이다.) 1. BT check q 4hrs. ... - 식사를 2/3정도 남기는 것 관찰 됨 - body weight check - I/O chec의 제거와 동시에 골수에 대한 독성은 최소화하고 면역 기능의 회복을 돕기 위함이다.) ... BP 120/80 BT 37 P 100nsertion : 주사 항암제의 경우 혈관 손상 및 혈관 밖으로 새는 경우 피부 손상이 되는 경우가 있기 때문에, 혈관이 좋지 않은 경우 케모포트라고
    리포트 | 11페이지 | 3,000원 | 등록일 2020.11.27 | 수정일 2022.11.24
  • 파워포인트파일 경제, IOT산업, 현황, 문제점, 해결방안, 전망
    I O T 산업 - Copyright ⓒ 2015All rights reserved by Angry momo 1. IOT 산업의 의미와 종류 2. IOT 산업의 현황 3. ... 디바이스 측면 검증되지 않은 부품이나 플랫폼을 사용하여 공급망 공격이나 기기에 노출된 디버그 포트를 통한 펌웨어 획득등 무작위 공격을 통해 원격권한 탈취 , 정보 도청등의 문제 발생
    리포트 | 11페이지 | 1,500원 | 등록일 2019.07.14
  • 한글파일 성인간호학 실습 관련 의학용어
    병리적골절 kyphosis 척추후만증, 척추뒤굽음[거북이등] colle's fx 콜리스골절[손바닥 짚으며 골절..] lordosis 척추전만증, 척추앞굽음증[배불뚝이] Pott's fx 포트골절 ... ventriculoperitoneal shunt 뇌실복강 단락술[뇌압↓목적] ■ 근골격계 ● 병태생리와 관련된 용어 coxa plana 편평고, 납작엉덩관절 ① Bone genu varum 내반슬[O자 ... i?] coma 혼수 hyperesthesia 감각과민[haip?r?sθi:??,-?i?,-zi?] semicoma 반혼수 hypoesthesia 감각감소, 감각저하[haip?
    리포트 | 6페이지 | 1,000원 | 등록일 2019.05.24
  • 한글파일 기계공학응용실험 A+, 7장 기초진동 실험
    운동방정식 정식화에서 강체보의 회전지지부에서의 회전마찰은 무시하고, 보를 지지하고 있는 코일스프링과 대시 포트 감쇠기는 선형성을 가지는 것으로 가정한다. ... 임계감쇠 자유진동 theta (t)=e ^{-w _{n} t} LEFT [ theta _{0} +( {dot{theta _{0}}} +w _{n} theta _{o} )t RIGHT ... _{i}는 i번째 진폭, theta _{i+1}은 i+1번째 진폭이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • 한글파일 심혈관계 질환 진단검사 보고서 A++ 받은 자료입니다.(검사종류/적응증/정상치/검사 전후 간호중재)
    편 채 침상안정 ⑤ 모래주머니 적용(지혈) 1) 중심정맥압 (CVP) → 우심방 내의 압력 (순환혈류량을 나타냄) : 우심실의 전부하상태 와 우심실 기능 반영 ① 5~10mmH2O ... 후부하 감소 ① 우심방 관광 : CVP측정, 수액 주입 ② 폐동맥 관강 : 폐동맥 수축기압, 이완기압, 평균압 등 측정 ③ 풍선팽창용 : 풍선에 공기를 넣거나 빼는데 사용 ④ 온도감응포트 ... 심장(혈액)계 검사종류 정상치 소견과 의미(상승/감소) 주의사항(검사 전.후 간호) 심장효소검사 → 급성심근손상 확인 - Myoglobin - Total CK - Troponin I,
    리포트 | 5페이지 | 2,500원 | 등록일 2023.08.09
  • 한글파일 continuous renal replacement therapy (CRRT) 신장투석치료기, 혈액투석 문헌고찰
    환자에게 들어가는 수액과 Feeding, Drainage, Urine 등 I/O를 고려하고 net balance 정한다. ... CRRT 전용 catheter로는 수액주입이나 blood sampling을 하지 않는 것이 원칙이지만, 필요에 따라서 acess line(빨간 포트)를 통해 소독 후 채혈이 가능하다
    리포트 | 4페이지 | 1,500원 | 등록일 2021.09.06
  • 한글파일 종양내과 관련 사전학습 (의학용어, 질병)
    전해질 및 체액 불균형으로 인한 예상되는 질환들을 예방하기 위해 I/O를 주기적으로 확인하며 불균형을 사정비정상적으로 증식하는 혈액의 악성 질환이다. ... 후 간호(골수천자 및 생검 등) - 수혈요법과 간호 - 골수이식 환자 간호 - 통증관리 - 항암화학요법(Anti Cancer Chemotherapy) 환자 간호 - 이식포트(Chemo-port ... 니트로소우레아제, 항대사제 등 많은 종류의 약물이 있다. - 항암화학요법시 경구, 정맥, 동맥, 사지관류등 다양한 경로가 있지만 장기간 주입을 위한 기구로 피하터널 카테터, 이식형포트
    리포트 | 10페이지 | 2,500원 | 등록일 2021.05.19
  • 한글파일 [성인간호학_기본간호학-과제]수혈요법과 수액요법_ IO Check
    매립형 포트 ? 수술을 통해 피하에 포트를 심는다 ? 직각으로된 후버바늘을 꽂아서 사용 ? 신체 외부에 나타나는 부분이 없어서 편리함 ? 장기적인 항암제 투여 ? ... O Check) 1)목적: 수분이동과 조절기능을 파악하여 체약균형을 사정하기 위함이다. 2)수분섭취와 배설량의 측정이 필요한 경우 - 수술 후 금식 상태로 정맥 주입 시 - 금식을 ... Penicilline을 강한 산성이나 간한 알칼리와 혼합하면 변화함. (3) 치료적 변화 두가지 이상의 약물이 동시에 투여되었을 때 약물의 효과에 영향을 미치는 현상 ● 섭취량과 배설량 (I/
    리포트 | 8페이지 | 2,000원 | 등록일 2020.03.31
  • 한글파일 전인간호실습. 사례보고
    간호사 선생님께서 환자분 몸속에 있는 포트에 나비바늘을 직접 꽂는 것도 보고, 항암약을 차광하여 수액세트를 꼽아 IV하는 것도 보았다. ... 80-36.7-63-20-99% L-tube(16Fr) 06:00 160, 10:00 71 Foley catheter(16Fr) 06:00 150, 14:00 130 환자 D+E I/ ... O 1700/351(+1349) 된 것을 확인함->주치의 라식스 0.5A BID start 처방에 따라 시행함 환자 복도 ambulation 중임 [중증도사정] 2군 [낙상위험사정]
    리포트 | 17페이지 | 1,000원 | 등록일 2022.02.16
  • 한글파일 방송대 2학기 기말과제 미국의 사회와 문화
    Declaration of I ... 미국이라는 나라는 ‘멜팅포트’라는 말로 표현하기 좋은 나라이다. 이는 다양한 이민자들이 미국이라는 한 나라에서 합쳐지고 섞여서 통합된 전체를 형성했다는 의미이다. ... Individualists promote the exercise of one’s goals and desires and so independence and self-reliance
    방송통신대 | 14페이지 | 4,000원 | 등록일 2021.01.02
  • 한글파일 2023 신규간호사 면접 홍지문 기출 40문제 (이것만 보면 됨) (추가 답변)
    수술후 I/O를 측정인간호서비스를 제공하는 제도 -------------------------------------------------------------------------- ... COPD 환자에게 저탄수화물식이를 권장하는 이유에 대해 말해보세요 고탄수화물식이는 대사를 통한 co2생성과 o2 소비가증가하기 때문에 호흡을 더욱 힘들게 하기때문, 탄수화물은 소화되면서 ... 중심정맥관, 6개월 이상 장기유지가 가능하며 쇄골하 정맥으로여러 관강을 가진 관을 삽입하는 터널형 카테터, 장기항암환자에게 적용되며 수년간 사용할수 있고 감염위험이 적은 피하이식형 포트
    자기소개서 | 6페이지 | 3,000원 | 등록일 2022.08.01
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    PlanAhead 프로그램에서 I/O Port 부분을 펼쳐 입출력 포트의 각 핀의 Site 부분에 사용자 설명서에 명기된 I/O 핀을 설정한다.
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 03일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:43 오전