• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(415)
  • 리포트(397)
  • 시험자료(17)
  • 방송통신대(1)

"4-bit shift register" 검색결과 1-20 / 415건

  • 워드파일 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    -Multiplier 설계를 통해 shift register의 활용방법을 익힌다. -4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다. ... Shift register를 이용한 multiplier 설계 이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... -Ring counter shift register의 parallel input을 0001로 고정시키고, shift left되면서 shift out되는 output을 Left input으로
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 워드파일 서강대학교 디지털논리회로실험 레포트 8주차
    4-bit register, SR4RLED는 shift register이다. ... 그림 15는 이의 도식화이며 그림 15에서 각 block은 구현에 사용될 4-bit소자를 의미하며 필요에 또는 4-bit register 또는 4-bit shift register를 ... 각 부분의 동작을 정리하면 다음과 같다. - LP/MPLY: 하나의 4-bit shift register로 구현되며 초기에는 multiplier를 저장하나 연산이 진행되면서 곱셈
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 논리회로설계실험 8주차 register 설계
    작동함을 알 수 있다. 4.2) 8-bit shift Register 8-bit shift register의 출력 wave는 위와 같이 나왔다. ... ) 4.1) 8-bit Register 8-bit register의 출력 wave는 위와 같이 나왔다. ... 0]에 한 bit씩 출력시킴을 확인할 수 있다. 3.2) 8-bit shift register (Structural modeling) 8-bit shift register도 마찬가지로
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 [논리회로실험] 실험7. Shift Register 결과보고서
    예상결과 Truth table과 일치한다. 2) 실험 2 : 5bit Shift Right Register - 5bit shift right register를 구성한다. - 9번과 ... 실험 과정 및 실험 결과 1) 실험 1 : 6bit Shift Right Register - 6bit shift right register를 JK 플립플롭을 이용해 구성한다. - CLR을 ... 실험 1의 경우 6 bit shift right register를 구현했다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    실험 방법 (07) 2.1. 4-bit Parallel Data Transfer (07) 2.2. 4-bit SIPO (14) 2.3. ... 실험 결과 (22) 3.1. 4-bit Parallel Data Transfer (22) 3.2. 4-bit SIPO (34) 3.3. ... 실험 결과 3.1. 4-bit Parallel Data Transfer 아래는 위의 과정으로 작성한 4비트 병렬 데이터 전송 회로의 소스코드(.v)와 버튼 및 LED 맵핑(.ucf)
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 한글파일 [논리회로실험] 실험7. Shift Register 예비보고서
    0 0 0 0 1 1 5 0 0 0 0 0 1 2) 실험 2 : 5bit Shift Right Register - 5bit shift right register를 구성한다. - 9번과 ... 실험과정 및 예상 결과 1) 실험 1 : 6bit Shift Right Register - 6bit shift right register를 JK 플립플롭을 이용해 구성한다. - CLR을 ... shift Register - Shift Right Circulating shift Register을 확인하기 위한 회로를 구성한다. - CLR를 0 -> 1로 바꾸어서 다이오드
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 파일확장자 디지털시스템설계실습_HW_WEEK6
    만약 4-bit shift-register를 blocking으로 구현했다면 값이 한 번에 sin의 값을 받아 제대로 파형이 출력되지 않았을 것이다. ... • Discussion이번 시간은 4-to-1 MUX를 ifelse , 4-bit shift-register, 4-to-16 Decoder를 베릴로그로 코딩하고 파형을 확인해보는 시간이었다.과제를 ... bit shift-register를 구현하면서 nonblocking과 blocking의 차이를 생각하며 코딩했다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 한글파일 [A+] 중앙대학교 마이크로프로세서 응용회로 설계실습 결과보고서 4주차
    그래서 각 bit를 left shift 또는 right shift하여 각각의 register에 0과 1의 값을 부여해 led의 점등을 통해 기능을 수행하고자 shift 연산을 한다. ... 마이크로프로세서 응용회로설계실습 (4주차 결과보고서) 1. led 함수들(led_down_shift, led_up_shift)에서 shift연산을 하는 이유는 무엇인가? ... 교재 30page의 LED 회로를 보면 led는 16-bit edge triggered D Flip-Flop에, D Flip-Flop은 Data Bus의 15~0번 bit에 연결되어
    리포트 | 2페이지 | 2,500원 | 등록일 2024.01.14
  • 워드파일 서강대학교 23년도 마이크로프로세서응용실험 4주차 Lab04 결과레포트 (A+자료)
    마이크로프로세서응용실험 4주차 실험 보고서 목적 - 논리, 연산, 곱셈, 나눗셈, shift, rotation과 같은 데이터 처리 명령어들을 수행시키며, 레지스터에 저장되는 결과와 ... 또한 STEP 12의 그림 12-2처럼 shift, rotate 명령어를 사용할 때, 빠져나가는 bit를 임시로 저장하는 역할을 할 수 있다. ... 그림 B-6: line 26까지 수행 그림 B-6를 보면, 두 Mantissa의 곱이 2를 초과하는지의 여부에 따라서, Mantissa가 shift된 후, 23-bit만이 추출되어서
    리포트 | 68페이지 | 2,000원 | 등록일 2024.03.24
  • 한글파일 [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    4bit shift register (4개의 플립플롭) ? 8bit shift register (8개의 플립플롭) 3. ... 전송할 수 있다. - JK 플립플롭으로 4비트 right shift register를 구성할 경우 J _{A} =Q _{Bn,} ````````K _{a} =Q _{Bn}이 되 며 ... 따라서 쉬프트 레지스터는 직렬-병렬 정보 변환기로서 작용할 수 있다. - 정보가 병렬 형태로 모든 stage에 입력되고 마지막 stage로 정보를 한 비트씩 이동시켜 직렬로 읽을 수
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 파일확장자 서강대학교 디지털논리회로실험 8주차 결과보고서
    Shift register에는 4가지 구조가 존재한다.① Serial-in, serial-out이 구성 bit수만큼 데이터를 지연시키는 역할을 한다.② Serial-in, parallel-out저장되는 ... 배경이론 및 실험방법Shift register란 개별적인 flip flop들의 연결에 의해 구성되는 shift register는 clock의 한 주기가 지날 때마다 연결되어 있는 register들의 ... 상태에 따라서 저장되어 있는 데이터를 shift한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 한글파일 논리회로실험 순차회로 설계
    4bit 시프트 레지스터는 SISO(shift input shift output)의 형태로 4개의 D FF을 사용해 작성하였다. 2) 테스트 벤치 ? ... 병렬 레지스터에서 이제까지의 설계는 우리가 논리기호를 만들어서 사용하였지만 4 bit 병렬 레지스터의 경우에는 이미 만들어져 있는 논리기호를 사용하여 간편하게 작성할 수 있었고, shift ... 개를 일렬로 배열하고 적당히 연결한 것을 말한다. - 여러 비트의 2진수를 일시적으로 저장하거나 저장된 비트를 좌측 또는 우측으로 하나씩 이동할 때 사용한다. - 이동(shift)
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 서강대학교 23년도 마이크로프로세서응용실험 8주차 Lab08 결과레포트 (A+자료)
    그리고 line 16에서, col에 left shift하는 부분을 없애야만 PB8-15에 출력될 수 있었다. line 20-21은 col 변수가 1만큼 right shift하며, high인 ... 각 pin의 기능, 동작형식을 정의하기 위해 GPIO port마다 설정을 위한 레지스터가 제공된다. • 32-bit configuration register GPIOx_CRL, GPIOx_CRH ... up/down 시 깨지지 않고 형태를 유지할 수 있다. dot matrix 전광판처럼 특정 패턴이 좌우로 흐르게끔 보이게 하려면 shift register의 원리를 활용해서, 각
    리포트 | 31페이지 | 2,000원 | 등록일 2024.03.24
  • 워드파일 정실, 정보통신기초설계실습2 11주차 결과보고서 인하대
    register 실험 결과 표1은 4-bit right shift register의 기본적인 동작특성이다. ... 그림3은 4-bit right shift register의 동작을 타이밍도로 나타낸 것이다. ... 아래의 표1은 4-bit right shift register의 동작을 진리표로 나타낸 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 VHDL을 통해 구현한 ShiftRegister 실습보고서
    아래그림은 S-R FlipFlop의 회로도 이며, Rising e 0 2 0 1 0 0 3 0 0 1 0 4 0 0 0 1 표3. 4bit Register의 진리표 그림4. 4bit ... Logical shift 4-3)Arithmetic shift(산술 시프트) 산술 shift이다. 앞의 두 shift와는 다르게 부호비트(MSB)가 보존된다. ... Circular shift 4-2) logical shift(논리 시프트) 논리 shift이다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 한글파일 충북대 기초회로실험 쉬프트 레지스터 예비
    양방향 이동 (Bidirectional) 또한 쉬프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 n개면 n bit shift register라 한다. (1) 우 쉬프트 레지스터 ... 우 쉬프트 레지스터(right shift register)는 플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킬 수 있는 레지스터이다. ... 좌 쉬프트 레지스터(left shift register)는 우 쉬프트 레지스터와 동일한 방법으로 구성할 수 있다. (3) 병렬 입출력형(Parallel input/output) 쉬프트
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 파일확장자 [예비보고서]중앙대학교 아날로그및디지털회로설계실습 스텝 모터 구동기
    실습 목적단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register ... 실습 준비물부품4비트 범용 이동 레지스터 74HC194 : 1개4상 스텝 모터 : 1개ULN2003AN IC : 1개저항 150Ω, 1/2W, 5% : 4개저항 1KΩ, 1/2W, ... 이를 바탕으로 BJT 트 랜지스터와 범용 이동 레지스터를 이용하여 스텝 모터 구동기를 설계한 후 그 동작을 확인한 다.3-2.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.06.23
  • 한글파일 예비보고서(3)-Shift_Register-시프트레지스터
    실험제목 : Shift Register - 예비보고서 1. ... 데이터가 완전히 저장된 후 한 비트씩 출력된다. 다음 그림 4는 4-비트 병렬 입력/직렬 출력 시프트 레지스터의 논리기호를 나타낸다. ... 다음 그림 1은, 4-비트 1010이 LSB 비트부터 레지스터로 입력되는 과정을 나타낸다. 레지스터는 0으로 초기화되어 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    이동하는 것을 shift-right 레지스터라 함. ... ‘0’ 상태로 만드는데 사용 정보의 이동 방향 : 시프트 레지스터 회로에서 정보의 이동방향은 왼쪽과 오른쪽이 모두 가능 이중 왼쪽으로 이동하는 것을 shift-left 레지스터, 오른쪽으로 ... 직렬-병렬 정보 변환기 : 직렬 형태의 정보는 한 번에 한 비트씩 하단 레지스터에 입력으로 전달되고 한 비트씩 전송된 정보가 모두 전송되어서 레지스터에 저장된 후 각 stage에 있는
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 논리회로실험 예비보고서7
    -병렬-직렬 정보 변환기 : 정보가 병렬 형태로 모든 stage에 입력되고 마지막 stage로 정보를 한 비트씩 이동시켜 직4비트 레지스터 :공동의 클럭을 입력을 하여 다음 상태로의 ... -직렬-병렬 정보 변환기 : 직렬 형태의 정보는 한번에 한 비트씩 하단 레지스터에 입력으로 전달되고 한 비트씩 전송된 정보가 모두 전송되어서 레짓터에 저장된 후 각 stage에 있는 ... clear >7496 : 5 bit Shift Register 4.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업