• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(908)
  • 리포트(860)
  • 시험자료(27)
  • 자기소개서(10)
  • 방송통신대(9)
  • 논문(2)

"4:1 mux" 검색결과 1-20 / 908건

  • 워드파일 인하대 전자공학과 VLSI 2:1, 4:1 MUX magic layout 및 hspice simulation
    MUX, 4:1 MUX 둘 다 오차는 거의 없었다. ... 따라서 오른쪽 그림과 같은 형식으로 2:1 MUX 레이아웃을 구성하였다. 4:1 MUX와 같은 경우는 2:1 MUX 3개를 결합하여 레이아웃을 구성하였다. ... 작성한 netlist파일 & tran 시뮬레이션) 2:1 MUX 오차가 거의 없고 정상적으로 파형이 도출되었다. 4:1 MUX 오차가 거의 없었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.06.22 | 수정일 2020.08.19
  • 파일확장자 [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to 16 ... MUX와 Decoder의 구조를 이해하여 내부 시그널을 인풋, 아웃풋 값들과 연결 짓는 것이 중요한 실습 포인트이다. ... 4 to 16 VHDL Decoder의 코드는 다음과 같다. Input 은 4비트짜리 logic vector w이고 실습 조건에 따라 En도 input으로 추가하였다.
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • 한글파일 4X1 mux 예비보고서
    * 본 자료는 이미지로로 작성된 자료로 미리보기 이미지를 참고해주시기 바랍니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2017.05.25
  • 한글파일 4x1 Verilog MUX 설계
    이 회로를 확장하여 선택선 Select와 데이터 입력 Dn을 늘리게 되면 4x1 8x1 16x1등의 MUX를 만들 수 있다. ... 이번 실험에서는 4개의 모듈을 합성하여서 1개의 모듈로 만드는 것이었는데 (*keep=1*)를 적어주니까 모듈 4개가 합성하지 않게 되었다. ... S D0 D1 Y 0 0 0 0 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 MUX의 진리표 MUX카르노맵 D0D1 / S 0
    리포트 | 9페이지 | 1,500원 | 등록일 2010.12.21
  • 워드파일 실험4결과[1].MUX&DEMUX
    가장 왼쪽에 위치한 MUX는 4x1이어도 상관없고, 왼쪽이 아니라 오른쪽에 위치해도 동일하게 동작한다. ... MUX의 확장 이용(8x1 mux → 32x1 mux) MUX의 EN핀이 활성 되어야 출력으로 전달되는 특성을 이용하면 위와 같이 8x1 MUX 5개를 이용해서 32x1 MUX를 만들 ... 기본적인 원리를 설명하자면 왼쪽에 위치한 MUX에 의해 4개 중 하나의 MUX만 EN으로 신호가 가고, 해당 MUX의 출력만 최종적으로 Output 되는 형태이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.27
  • 워드파일 실험4예비[1].MUX&DEMUX
    Gate로 만든 MUX 4x1 멀티플렉서 IC인 74HC153을 이용하여 다음 회로를 구성한다. ... 회로 SEQ 회로 \* ARABIC 4. 74HC153을 이용한 MUX 입력 출력 E S1 S0 D3 D2 D1 D0 Y +5 X X X X X X 0 0 0 0 +5 +5 +5 0 ... A B Y 0 0 D0 0 1 D1 1 0 D2 1 1 D3 표 SEQ 표 \* ARABIC 1. MUX 진리표 멀티플렉서의 장점: 관리의 단순화가 가능해진다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • 파일확장자 verilog 2*1,4*1,8*1 mux 입니다
    ^^ 실제 테스트 한 것입니다.
    리포트 | 2,000원 | 등록일 2010.03.31
  • 한글파일 vhdl 4x1mux 코딩
    학과 : 전자재료공학과 학번 : 이름 : 과제 5. 4 X 1 MUX 구현 ※결론 및 고찰 4x1 MUX는 일반적으로 생각할 때 무조건 4개의 입력과 1개의 출력으로 개략도를 찾아보았으나 ... I0~I3까지의 4개의 입력은 각각 A와 0, 1의 데이터 입력 값을 나타내고 있고 이에 따라 마지막 출력 Y가 어떻게 값이 나오는지 시뮬레이션을 구동할 때 유의 해야 했다. ... 이미 정해진 SYMBOL을 통해 MUX를 구현할 수 있어 보다 편리하게 구현할 수 있었다.
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • 한글파일 [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    MUX Behavioral Modeling 코드 시뮬레이션 결과 4x1 MUX(Multiplexer 또는 데이터 선택기)는 select 입력에 따라 in_0~in_3 중에 데이터를 ... 1. 2x4 Decoder ① Dataflow Modeling 코드 컴파일 화면 컴파일 후 Warning문장을 포함한 Message 화면 총 4가지의 Warning문장이 나오는데 첫 ... 화면 enable이 초기 값을 가상으로 0을 가진다는 것, 핀들이 정확한 위치를 할당하지 않았다는 것, 출력 핀이 커패시턴스를 할당하지 않았다는 것 등의 경고문들이 있었다. 2. 4x1
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 한글파일 [응용논리회로설계]4x1 MUX
    (1 downto 0); y:out std_logic); end mux4_1b; architecture BEHAVE of mux4_1b is begin process(in0,in1, ... ); end mux4_1; architecture BEHAVE of mux4_1 is begin process(in0,in1,in2,in3,sel) begin if(sel="00") ... (1 downto 0); y:out std_logic); end mux4_1c; architecture BEHAVE of mux4_1c is begin y
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.29
  • 한글파일 VHDL 4_1 MUX 설계 ,4가징 방식.
    _1_when_else is end tb_Mux4_1_when_else; architecture tb of tb_Mux4_1_when_else is component Mux4_1_when_else ... Number designer design phone mail MUX4_1 2. source code 1) Mux4_1_if --/**************************** ... *********************************/ -- MODULE: Mux4_1_if -- -- FILE NAME: Mux4_1_if.vhd -- VERSION: 1.0
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.02
  • 한글파일 vhdl (MUX 4×1, nand, full adder)
    VHDL 실습 < 1 번 > MUX 4×1 library ieee; use ieee.std_logic_1164.all; entity test is port (a, b, c, d : ... => 4) port map(a,b,c); end sample; < 파 형 > ... : ha port map(w,x,temp_c1,temp_s); u2 : ha port map(temp_s,y,temp_c2,sum); u3 : test port map(temp_c1
    리포트 | 4페이지 | 1,000원 | 등록일 2007.11.23
  • 한글파일 vhdl 4x1 mux 코딩 다른버젼
    학과 : 전자재료공학과 학번 : 이름 : 과제 5. 4 X 1 MUX 구현(진리표,K-MAP,LOGIC DIAGRAM,SIMULATION) < TRUTH TABLE> < K-MAP ... > F=AB'C'+ABC'+A'BC'+ABC'+ABC+ABC' =AC'+BC'=AB ※결론 및 고찰 4x1 MUX를 주어진 결과 값 만을 보고 역으로 맞춰 가는 과정이었다. ... 일단 주어진 식은 F(A,B,C)=∑(2,4,6,7)이었고 이를 진리표로 나타내면 위와 같다.
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • 한글파일 4X1 MUX를 이용하여 FA구현하기
    4X1 MUX를 이용하여 FA구현하기 1. 4X1 MUX의 구현 2. 4X1 MUX를 이용한 FA의 구현 ⑴ 진리표 S0 S1 C0 C S 0 0 0 0 0 0 0 1 0 1 0 1 ... 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 ⑵ BOOLE식 유도 S0 S1 C0 F C 0 0 0 F=0 0 0 0 1 0 ... 0 1 0 F=C0 0 0 1 1 1 1 0 0 F=C0 0 1 0 1 1 1 1 0 F=1 1 1 1 1 1 S0 S1 C0 F S 0 0 0 F=C0 0 0 0 1 1 0 1
    리포트 | 2페이지 | 1,000원 | 등록일 2008.06.03
  • 한글파일 [verilog hdl] 4×1 MUX & 1×4 DEMUX verilog HDL 모델링
    ※ 4×1 MUX verilog HDL 모델링 module MUX_4_TO_1 (I0, I1, I2, I3, Y, S); inputI0, I1, I2, I3; input[1:0]S; ... Y=I2; default: Y=I3; endcase end endmodule ※ 1×4 DEMUX의 verilog HDL 모델링 module DEMUX_1_TO_4 (I, S, D0 ... case (S) 2;b00: begin D0=I; D1=1'b0; D2=1'b0; D3=1'b0; end 2;b01: begin D0=1'b0; D1=I; D2=1'b0; D3=1'
    리포트 | 2페이지 | 1,500원 | 등록일 2007.12.12
  • 한글파일 [토끼] 응용논리_4× 1 MUX를 4가지 구문을 이용하여 VHDL로 설계, 시뮬레이션, 합성 과제 ( IF 문, CASE 문, When~else 문, With~select
    (1-1) IF 문을 이용한 4×1 MUX의 VHDL CODE library IEEE; use IEEE.std_logic_1164.all; entity mux41 is port( ... 쿼터스로는 에러가 생기고 아직 이 툴 로만으로도 합성의 결과는 충분하다고 생각했기에 쿼터스에서는 디버깅을 하지 않았다. (4-1) With Select 문을 이용한 4×1 MUX의 ... 과제: 4× 1 MUX를 다음의 4가지 구문을 이용하여 VHDL로 설계 하고 시뮬레이션, 합성 하여라. ( IF 문, CASE 문, When~else 문, With~select문 )
    리포트 | 17페이지 | 3,000원 | 등록일 2013.01.14 | 수정일 2020.07.13
  • 파일확장자 CMOS 기반의 1 to 4 MUX Pspice(피스파이스) Simulation
    먼저 본 회로에 주어진 2개의 Select 신호가 만들어 낼 수 있는 선택 Port의 수는 22의 크기를 가질 수 있기 때문에 총 4개의 MUX 출력 Port를 가질 수 있다. ... 기반으로 하여 Wiring하고, 해당 소자에 적절한 입력에 준하는 조건의 V-Source를 입력 하여 해당 응답을 확인한다.【 실 행 】 1) 주어진 Schematic의 구조 ... 【 목 적 】 - Pspice를 통하여 CMOS MUX의 시뮬레이션을 통하여 동작 및 특성을 고찰한다.【 수 행 계 획 】 - MbreakN/P MOS 소자를 주어진 Schematic을
    리포트 | 4페이지 | 1,500원 | 등록일 2007.03.29
  • 파워포인트파일 4개의 입력과 1개의 출력을 가지는 회로를 and, or, not, nand, MUX, decoder를 이용한 설계
    회로도 (4) Multiplexer 회로 2 X 1 MUX만을 이용한 회로이다. S B D A B C D C C 0 1 1 D D 0 C 4. 회로도 5. ... Decoder Input Output A B F1 F2 F3 F4 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 2. 이론 4. ... A B C D S (3) Decoder 회로 4. 회로도 4. 회로도 4. 회로도 4. 회로도 4 to 16 Line Decoder의 간략화 4.
    리포트 | 20페이지 | 5,000원 | 등록일 2010.06.24
  • 한글파일 [논리회로] 4 to1 mux
    Four_Mux의 회로도와 진리표는 다음과 같다. { { S1 S2 Out 0 0 D1 0 1 D2 1 0 D3 1 1 D4 Velilog Coding. module four_mux ... Four_Mux는 4개의 입력이 들어오면 Select 신호에 따라 하나의 출력을 내보내는 회로이다. ... Four_To_One Mux 멀티플렉서(MUX : multiplexer) : 여러 회선의 입력이 한 곳으로 집중될 때 특정 회선을 선택하도록 할 수 있는 장치.
    리포트 | 2페이지 | 1,000원 | 등록일 2003.04.27 | 수정일 2019.10.22
  • 워드파일 논리회로설계실험 4주차 MUX 설계
    1) Objective of the Experiment(실험 목적) 이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling ... 검증할 수 있다. 2) Theoretical Approach(이론) 2.1) 4:1 MUX 4:1 MUX는 a,b,c,d 4개의 input과 2개의 input selections ... 코드는 따로 수정하지 않았고 교수님께서 제공해주신 코드를 그대로 사용하였다. 4) Result(실행 결과) 4.1) 4:1 MUX 4:1 MUX에서 출력되는 파형은 다음과 같았다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업