• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,697)
  • 리포트(6,622)
  • 시험자료(488)
  • 방송통신대(302)
  • 자기소개서(244)
  • 논문(32)
  • ppt테마(5)
  • 서식(3)
  • 이력서(1)

"논리적코드" 검색결과 21-40 / 7,697건

  • 한글파일 [논리회로] 코드변환과 변환기
    W' 0 FA C2 S X' 0 FA C1 C2 S Y' 1 FA C0 C1 S Z' 1 HA C0 S ※ Gray to Excess-3 Converter § C o m p a r ... a t o r 두수 A와 B의 비교는 A>B , A=B , AB 이면 C로 놓고 이 경우의 출력 값을 1로 놓고, AB은 C=AB이고, A ... Z = C D (Binary Code) (Gray Code) §Gray to Excess-3 Converter Decimal Gray Excess-3 W X Y Z A B C D 0
    리포트 | 4페이지 | 1,000원 | 등록일 2003.08.14
  • 한글파일 [공학]논리회로 설계) Exclusive-OR Gate (7486),그레이 코드
    주어진 어떤 순간에 모든 단자는 두 개의 조건 중의 하나인데, 이것을 서로 다른 전압으로 표현하면 전압이 높음(1)과 낮음(0) 으로 표현된다. (2진 코드의 1과 0) 기본 논리게이트는 ... 논리 게이트를 구현하여 출력 상태를 기록하고, 다른 논리게이트와 비교 해 본다. ... 논리 게이트는 디지털 회로를 만드는데 있어 가장 기본적인 요소이다. 대부분의 논리 게이트들은 두 개의 입력과 한 개의 출력을 가진다.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.10.16
  • 워드파일 논리회로 ABEL 프로젝트 (학번, 이름 이니셜 나타내는 프로그램 설계, 소스코드, 사진 모두 첨부)
    ABEL PROJECT 보고서 PLD 란?
    리포트 | 21페이지 | 5,000원 | 등록일 2008.11.18
  • 한글파일 [논리회로] ASCII 코드 변환기
    진리표 Input Hex digit ASCII code W X Y Z A6 A5 A4 A3 A2 A1 A0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 0 1 1 0 ... 이와 같이 서로 다른 형식의 코드를 두 시스템간에 송수신을 하기 위해 자동으로 코드를 변환시켜주는 회로가 필요한데 이를 코드 변환기라 한다. ... 코드가 사용되고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2002.03.26
  • 한글파일 [논리회로] Hexadecimal to ASCII 코드 변환기
    Converter ※BCD code를 2진수로 변환하기 위해서는 BCD의 자릿값에 의해 2진수를 합해야 한다. ... 또한 대부분의 논리회로는 이산적인 0과 1의 두 가지 값만을 갖는 신호를 사용하기 때문에 10진수도 2진 신호에 의해 코드화되어야 한다. ... C2 S A B C0 C1 S A B C0 S FA FA HA A C6 S A C5 C6 S A B C.
    리포트 | 9페이지 | 1,000원 | 등록일 2003.08.14
  • 한글파일 [논리회로 ] Hexadecimal to ASCll 코드 변환기
    digit ASCll code W X Y Z A6 A5 A4 A3 A2 A1 A0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 1 0 0 0 1 0 1 5 0 1 ... 또한 대부분의 논리회로는 이산적인 0과 1의 두 가지 값만을 갖는 신호를 사용하기 때문에 10진수도 2진 신호에 의해 코드화되어야 한다. ... ASCII 코드는 American Standard Code for Information Inter change의 약자로7비트 코드 문자로 구성되는 코드화 문자세트를 사용한 표준 코드로서
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.21
  • 워드파일 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    -----------------반가산기 소스 코드----------------------- -- Designer : JB -- Date : 2007.12.01 library ieee ... begin sum ... ----------전가산기 테스트백터 소스 코드--------------------- - made by JB -- Date : 2007.12.01 library ieee; use ieee.std_logic
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • 워드파일 이동통신공학 보고서 10.Walsh code PN code
    생성 순방향, 역방향 논리적인 채널 구분, 데이터 속도에 따라 Walsh code의 길이가 변함 동기식 방식과 다르게 Channelization Code라고 부름 두 코드 모두 직교성을 ... 0에 가까운 코드로는 Gold codes, Kasami codes 등이 있음 스펙트럼 확산 방식의 이동통신에 많이 사용 됨 개요 PN 코드는 유사잡음코드로 랜덤 시퀀스와 유사한 잡음 ... Report -Walsh code, PN code- 제출일 : 학 번 : 이 름 : 목 차 Walsh Code ------------------------------ p.3 의미 및
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 워드파일 논리회로설계실험 5주차 Encoder 설계
    또한 testbench code를 직접 짜면서 4:2 Priority encoder를 테스트하는 코드를 짜보았는데, input과 output부분, module instantiation ... 이러한 과정에서 논리회로설계에서 중요한 Encoder에 대해 더욱 깊게 이해할 수 있었다. ... 부분, 마지막으로 실행을 위한 test pattern 부분 총 세가지 부분을 skeleton code에 채워야 했다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 디지털공학 실생활 사례
    디지털 코드 그림2. 별자리y code)로 변환하는 방법을 발명했는데, 그 이름을 따서 Gray code라고 불리게 되었다. ... 어느 글에서 ‘coder’는 단순히 코드를 작성하고 ‘programmer’는 생각하며 코드를 작성한다고 했다. ... 다양한 논리게이트, 부울 대수 공식, 논리회로 등 이제껏 코드를 짜는 것만이 프로그래머라고 생각했던 나에게 이런 학문들은 원시적으로 느껴지고 이걸 왜 내가 배워야 하는지 크게 와 닿지
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.12
  • 워드파일 디지털 논리회로(생능출판, 김종현) 2단원 정리
    code) ex) 82610 = (1011 0101 1001)excess-3 (5) 존슨 코드(Johnson code) - 다른 코드들과는 달리, 5비트들로 이루어지는 2진 코드 ... 자기-보수 코드(self-complement code) - 어떤 10진수를 d라고 할 때, 그에 대한 9의 보수는 (9-d)로 구할 수 있음 - 10진수 표현에 사용되는 2진 코드들 ... 코드 방식 - 비트들은 좌측부터 각각 8, 4, -2, -1의 자릿수를 가짐 (4) 3증수 코드(excess-3 code; 3-초과 코드) - Stibitz코드라고도 부르는 3증수
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    학 부: 전자공학부 제출일: 과목명: 논리회로실험 교수명: 조교명: 분 반coding)의 원리를 이해한다. 3. ... 1000 1001 - Excess-3 code는 변환이 이루어진 8421(BCD) code에 3(0011)을 더해서 나타낸 코드이다. ... 및 Excess-3 code - 8421(BCD) code는 10진수를 4bit 2진수로 나타낸 code이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    코드를 넣는다.(12~24행) 2) 테스트 벤치 코드 Test bench code Input은 8비트 BCD 값 2개(a, b), a와 b의 합을 나타내는 12비트 BCD 값 1개 ... 관련 기술 및 이론 1) BCD (binary-coded decimal) BCD는 십진수를 이진코드로 표기한 것이기 때문에 2진화 10진법이라고도 불리며, 2진수 네 자리를 묶어 10진수 ... 논리회로설계 실험 설계과제 보고서 주제 : #1 BCD 가산기 설계 1. 설계 배경 및 목표 1) 설계 배경 컴퓨터는 2진법을 이용하여 계산을 한다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 C프로그래밍 시험 족보
    code; code1 = 65; //위 아래의 코드는 동일하게 문자 A를 나타냄 code2 = ‘A’; printf(“%c”,code1/n); printf(“%c”,code2/n) ... d %c”,code+1, code+2, code+3); return 0; } 4. ... 3) 프로그램 오류 ①컴파일 오류 : 문법에 오류가 발생하는 것 ②실행시간 오류 : 컴파일은 성공적이나 실행 시 간에 오류가 발생하는 것 ③논리 오류 : 문법은 틀리지 않았으나 논리적으
    시험자료 | 3페이지 | 3,500원 | 등록일 2021.02.19
  • 한글파일 [논리설계] 연습문제 2장 풀이
    R REPORT 논리설계 연습문제 2장 풀이 본 레포트는 참고 자료입니다. 수정없이 제출하거나 판매 및 무단배포 등의 행위를 금합니다. ... ****1 G a t e SP 2 7 -> "Gate 27" (2) 11000111 01*************0 11100101 00100000 00110010 00110111 C7 ... , 10진수로 해석 (1) BCD 코드 8421, 8421, 8421로 계산 -> 7, 5, 9 = 759 (2) 3증수 코드 BCD코드에 0011 더하여 표현 = 426 (3) 84
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • 파일확장자 [데이터베이스 프로젝트] 동물 병원 관리
    , 처방 예약 시스템)제안서 대비 차이점- [요구사항 분석]에서 진료의 ‘진료번호’와 처방의 ‘처방번호’의 필요성을 느끼지 못해 삭제함- [개념 모델링]의 ‘ER 다이어그램’과 [논리 ... SQL 코드CREATE TABLE pet( p_id VARCHAR2(20), p_name VARCHAR2(20), p_gender VARCHAR2(10), p_kind VARCHAR2
    리포트 | 16페이지 | 2,500원 | 등록일 2022.07.04 | 수정일 2022.07.08
  • 워드파일 클럭분주회로설계 verilog 설계
    클럭 분주회로를 verilog로 설계한 코드 ClockDivider.v tb_ClockDivider.v module ClockDivider(clk,rst); input clk, rst ... 클럭을 분주하는 방법은 다양하지만, 이번 실습에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 천이되도록 클럭 분주회로를 설계함으로써 순차논리회로를 설계하는 절차를 ... "); $dumpvars(-1,tb); end initial clk=1'b0; always #30 clk=~clk; initial begin rst =1'b0; #30 rst=1'b1
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로를 설계함으로써 순차논리회로의 설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 [방송통신대학교] 컴파일러구성 출석수업대체과제
    마지막으로 목적코드 생성(code generation)단계이다. ... 네 번째로 중간코드 생성(intermediate code generation)단계이다. ... 이와 같은 일을 담당하는 도구를 중간코드 생성기(intermediate code generator)라고 한다.
    방송통신대 | 3페이지 | 4,000원 | 등록일 2022.10.03
  • 워드파일 컴파일러구성_중간출석과제
    알맞은 코드생성 루틴을 부름으로서 중간코드를 생성 코드최적화(code optimization) 단계 코드를 좀 더 효율적으로 만들어 코드 실행 시 기억공간이나 실행시간을 절약하기 ... 위한 단계 목적코드 생성(code generation) 단계 연산을 수행할 레지스터를 선택하거나 자료에 기억장소의 위치를 정해 주며, 실제로 목적기계어에 대한 코드를 생성 과제3. ... 컴파일러의 논리적 구조 설명.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2022.11.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업