• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,048)
  • 리포트(1,606)
  • 논문(191)
  • 자기소개서(103)
  • 시험자료(87)
  • 방송통신대(56)
  • 서식(4)
  • 이력서(1)

"교통신호제어" 검색결과 1-20 / 2,048건

  • 한글파일 교통신호제어기 VHDL 설계
    교통신호제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 수행 및 제출(4) 교통신호제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파워포인트파일 교통제어 교차로 신호주기 최적화
    T7F를 이용한 꿈의 교회 사거리 최적화 주기 찾기 목 차 조사계획 자료수집 자료분석 결론 조사계획 목 적 : 꿈의 교회 교통량 조사 : T-7F 이용한 교통량 분석 일 시 : 2010년 ... 3월 27일 15시 30분 ~ 16시 30분 (비 첨두) 장 소 : 꿈의 교회 사거리 자료수집 - 기하구조 교통량 현시 28 186 171 98 165 50 41 563 95 264
    리포트 | 8페이지 | 1,500원 | 등록일 2021.01.28
  • 파일확장자 지능형 교통체계에서의 신호제어와 동적 경로안내
    한국산업경영시스템학회 산업경영시스템학회지 박윤선
    논문 | 8페이지 | 4,000원 | 등록일 2017.01.04 | 수정일 2023.04.05
  • 파일확장자 도시부 교통신호제어체계 발전방향 (서울시 사례를 중심으로)
    교차로 교통량이 많거나 사고다발지점인 경우 교차로를 신호교차로화 하여 교통흐름을 제어한다. ... 이러한 신호교차로 신호제어는 도시부 네트워크 교통흐름 관리차원에서 매우 중요하다. ... 본 논고는 서울시를 사례로 과거로부터 발전되어온 교통신호제어시스템 현황을 고찰하고 이를 토대로 개선이 필요한 부분을 검토한 후 교통신호체계 발전방향을 실용적인 측면에서 제시한다.
    논문 | 12페이지 | 4,100원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 파일확장자 3지 교차로에서 보행자 교통량에 따른 보행자작동신호기를 이용한 신호제어효율에 관한 분석
    반감응 신호제어의 경우 부도로에 교통량이 적을 때 주도로의 교통소통을 원활히 하여 교차로 내 지체를 감소시킬 수 있으며, 보행자작동신호기는 보행자가 없음에도 불구하고 보행자 신호를 ... )의 감소효과를 나타내었으며 반감응식 신호제어와의 비교에서는 보행자교통량이 측정교통량의 20% 이하일때보다 효율적인 것으로 나타났다. ... 본 연구는 인천의 1개 3지 교차로를 대상으로 현장조사를 실시하였고 각각의 신호제어 방식에 따라서 보행자 교통량을 변화시켜 민감도 분석을 실시하였다.
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 한글파일 ATMEGA128을 이용한 교통신호 제어
    교통신호등의 R(빨강), G(녹색), Y(노랑)를 대신할 3개의 LED를 선택하고, GPIO를 통해 연결한다. 2. ... G->R로 신호가 바뀔 때, Y는 경고신호로 3초 동안 0.5초 간격으로 점멸한다. 6. ... 신호등의 순서는 G->Y->R 순으로 바뀐다. 4. G, R 의 신호는 10초동안 유지한다. 5.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.06.25 | 수정일 2015.09.20
  • 파일확장자 퍼지 적응제어를 통한 도시교차로망의 교통신호제어 (Fuzzy Adaptive Traffic Signal Control of Urban Traffic Network)
    대한교통학회 대한교통학회지 진현수, 김성환
    논문 | 15페이지 | 4,400원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 교통대응 신호제어 시스템의 효율성 평가 (The Assessment of TRACS(Traffic Adaptive Control System))
    대한교통학회 대한교통학회지 李榮寅
    논문 | 29페이지 | 5,800원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 한글파일 교통신호 제어
    2011. 5. 18 디지털 공학 (교통신호 제어기) 소 속 : 학 번 : 성 명 : 교통신호 제어기 설명 본 교통신호 제어기에서는 디코더를 활용한 상황에 따른 동작 원리에 대해 설명을 ... 교통신호등의 동작순서는 제어논리에 따라 제어되는데, 이 시스템의 타이밍 요구사항들은 다음과 같다. ... 제어기의 구성 구성도 교통신호제어 시스템은 다음 그림에 나타난 것과 같이 조합 논리회로, 순차논리회로 그리고 타이밍 회로로 구성된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.17
  • 파일확장자 격자형 가로망의 교통신호제어 모형 연구 (Development of Signal Optimization Model for Urban Network)
    특히, 간선도로의 효율적인 교통처리와 안전을 도모하기 위한 방법으로는 교차로간 신호운영요소를 조정하여 차량을 연속적으로 진행시키는 신호연동화(progression)가 널리 사용되어 ... 현재 우리나라는 계속해서 증가하는 차량과 이를 수용하지 못하는 열악한 도로상황으로 인해 교통혼잡이 날로 증가하고 있다 따라서, 대도시 선진국들은 도로와 차량 및 신호운영요소 등의 ... 대한교통학회 대한교통학회지 유충식, 김영찬
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 교통신호제어시스템 개선에 따른 거시적 교통류 변화 분석 (Macroscopic Traffic Flow Analysis by Improvement of Traffic Signal Control Systems)
    교통신호제어시스템의 구축 및 개선을 주요 내용으로 하고 있다. ... 이중 교통신호제어시스템은 국내 일반국도의 특성을 고려하여 주방향 직진의 소통효과 극대화를 목적으로 하는 NEMA 연동형 반감응제어가 주요 신호제어유형으로 설계되어 이동성 기능의 극대화를 ... 이중 네트워크의 서비스 질에 대한 거시적 평가가 가능한 1979년 Herman에 의해 제안된 Two-Fluid Model을 적용하여 교통신호제어시스템 구축에 따른 효과분석을 수행하였다
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 신호 시스템의 신호제어 전략 및 교통축 운영성과 분석연구 (영동대로와 도곡동 축을 중심으로)
    실시간 교통신호 제어시스템은 검지기 체계로부터 교통소통자료를 수집하고, 이를 중앙시스템에서 실시간으로 분석, 처리하여 신호시간을 신호주기별로 산출하는 시스템이다. ... 신호제어시스템의 운영성과는 시스템의 신호제어기능 또는 제어 알고리즘을 활용할 수 있는 신호제어전략의 효율성 여부에 의하여 크게 좌우된다. ... 따라서 향후 신호운영의 효율성을 높이기 위해서는 중요교차로의 교통대응 제어기능의 개선과 동시에 비중요교차로의 패턴 Table의 개선이 필수적인 것으로 판단된다.
    논문 | 15페이지 | 4,400원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 과포화 다이아몬드형 인터체인지의 교통신호제어모형의 개발 (Traffic Signal Control for Oversaturated Diamond Interchanges)
    본 논문은 과포화상태의 다이아몬드형 인터체인지의 교통신호제어를 다루며, 신호시간계획을 산출하는 動的 最適化模型(dynamic optimization model)을 제시한다. ... 본 논문은 과포화상태의 다이아몬드형 인터체인지의 교통신호제어를 다루며, 신호시간계획을 산출하는 動的 最適化模型(dynamic optimization model)을 제시한다. ... 본 모형의 핵심은 신호제어에 따라 발생하는 대기차량길이를 모형화하여 대기차량길이가 정해진 상한치를 초과하지 않도록 하는 신호시간계획을 산출하는데 있다.
    논문 | 26페이지 | 5,500원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 교통신호제어시스템 온라인 평가모형 개발 (Development of an Online Evaluation Model for Traffic Signal Control System)
    오랫동안 교통신호제어의 효율을 보다 정확하게 평가하기 위한 다양한 방법들이 모색되어 왔다. ... 평가가 가능한 수준으로 발전하고 있다.본 연구에서는 CORSIM(5.0)을 교통류 시뮬레이션 모형으로 하고 COSMOS를 교통제어센터로 하여 COSMOS가CORSIM의 시뮬레이션 ... 지체시간 분포비교를 통해 모형의 유효성을검증하였다.평가 결과 개발된 평가모형은 COSMOS에 대응하는 실시간 제어에 대응할 수 있음을 보여주었으며, 센터 신호계획에정확하게 반응하였다
    논문 | 10페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 파일확장자 네트워크형 가로망의 교통신호제어 최적화 모형개발 (Development of Optimization Model for Traffic Signal Timing in Grid Networks)
    신호제어 최적화 모형식으로는 전통적으로 통과폭최대화모형과 지체최소화모형으로 구분되며, 이들 모형식을 기반으로 PASSER-Ⅳ, TRANSYT-7F 등의 프로그램이 사용되고 있다. ... 대한교통학회 대한교통학회지 김영찬, 유충식 ... 본 연구에서는 기존에 신호시간 최적화를 위해 주로 이용되어 왔던 최적화방법들을 탈피해 진화 알고리즘의 하나인 유전자 알고리즘(genetic algorithm)을 이용하여 신호시간 요소들을
    논문 | 11페이지 | 4,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 PLC 사거리 교통 신호 제어
    >주(主)도로와 부(副)도로 등 교차로 통행우선 순위를 정해줄 필요가 있는 곳에는 교차로 주도로는 황색점멸신호, 부도로는 적색점멸신호로 설치운영하고 있습니다. ... 황색등화의 점멸은① 보행자는 주의하면서 횡단할 수 있다.② 차마는 다른 교통에 주의하면서 진행할 수 있는 것이다. ... 적색등화의 점멸은① 보행자는 주의하면서 횡단할 수 있다.② 차마는 정지선이나 횡단보도가 있을 때에는 그 직전이나 교차로의 직전에 일시정지한 후 다른 교통에 주의하면서 진행할 수 있다.나
    리포트 | 14페이지 | 10,000원 | 등록일 2012.06.17
  • 파일확장자 통합형 교통신호제어기의 안정성 평가 및 활용방안 (The evaluation and application plan of integrated traffic signal controller)
    대한교통학회 대한교통학회지 강동윤, 이철기, 오영태, 이환필 ... 대도시에서 발생하는 많은 문제 중 교통문제는 가장 심각하게 대두되고 있으며 도시구조와 교통체계간의 부조화, 교통시설 공급의 부족, 운영관리의 미숙 등 여러 요인이 복합적으로 작용하고 ... 교통문제의 해결을 위해 기존 교통시설의 극대화를 위한 ITS 시스템의 도입이 필요하며 ITS 장비는 관리주체/시스템 별 각종 장비 및 통신 인프라가 별도로 구축되고 있으나 관리주체가
    논문 | 10페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 한글파일 VHDL을 이용한 교통신호제어
    교통신호제어의 설계 2. 하드웨어 구조 3. VHDL CODE 분석 및 결과 4. 결론 및 느낀점 1. ... 교통신호제어의 설계 1) 설계의 목표 ◇ 사거리신호를 기준으로 특정 시간을 주기로 LED가 제어되는 신호등의 구현. ◇ 점멸기능의 추가 (점멸 버튼을 누르면 황색램프와 보행자 신호가 ... 결론 및 느낀점 - 지금까지 교통신호기의 제어에 대해 VHDL구문을 이해하고, Max+ Plus를 이용한 프로그램을 돌려보면서 시뮬레이션 결과 값을 산출하는 과정에서 구문에 대한 결과
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • 한글파일 교통신호제어시스템
    버스정보시스템은 도로소통 상황을 고려해 버스의 도착 예정시간 등 대중교통 정보를 제공하며, 실시간 신호제어시스템은 신호주기 등 신호체계를 교통상황에 따라 제어해 통행시간 단축과 교통혼잡 ... 지금까지 교통신호제어는 자동차의 소통과 흐름에 주안점을 두고 운영되어 왔다. ... 이를 지원하는 보행교통에 중점을 두는 교통신호 운영방법이 재정립되어야 하고, 대중교통인 버스를 우선적으로 소통시키는 신호제어방법이 지원되어야 한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2009.06.06
  • 파일확장자 교통신호제어시스템 성능평가 시뮬레이션 시스템 개발 (Development of the Simulation System for Testing and Evaluating of Traffic Signal Control Systems)
    교통신호제어시스템을 대상으로 개발 시뮬레이션 시스템 성능을 시험한바 정확도 및 안정성 면에서 우수하고, 별도의 추가장비 없이도 모든 신호제어기에 호환성을 확보하는 것으로 평가되었다. ... 본 연구에서는 ITS의 각종 시스템에 대한 효율성 평가를 목표로 개발된 시뮬레이션 시스템을 소개하고 이를 교통신호제어시스템의 성능평가 도구로 적용한 결과를 제시한다. ... 개발된 시뮬레이션 시스템은 종래의 소프트웨어 기반 시뮬레이션 프로그램에 인터페이스 장치를 통해 실제 교통제어시스템의 하드웨어를 직접 연결하여 실시간으로 성능검사와 교통관리시스템의 기능성을
    논문 | 13페이지 | 4,200원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업