• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(346)
  • 리포트(337)
  • 시험자료(8)
  • 자기소개서(1)

"전감산기 설계" 검색결과 21-40 / 346건

  • 파일확장자 [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 4주차 예비+결과(코드포함) Combinational_Logic_Design_1 Arithmetic_Logic and Comparator
    여부를 완벽히 확인할 수 있다.2.4-bits Subtractor아래 그림은 예비보고서에서 설계했던 4비트 전감산기의 시뮬레이션 결과이다. ... 실험결과1.Full Subtractor아래 그림은 예비보고서에서 설계했던 전감산기(FS)의 시뮬레이션 결과이다. testbench를 통해 모든 경우의 수를 넣어주었기 때문에 정상 작동 ... 실험목표-HDL 문법을 활용하여 Verilog 설계 및 시뮬레이션을 할 수 있다.-감산기와 비교기의 구조 및 동작을 이해 및 확인한다.나.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.12.14
  • 워드파일 시립대 전전설2 Velilog 예비리포트 4주차
    date 목록 실험 목적 배경 이론 실험 장비 실험 전 과제 반가산기, 전가산기 4비트 가산기 XOR 게이트를 이용한 감산기 4비트 감산기 실험 전 응용 과제 preview 1-bit ... (1) 프로젝트 생성, 로직 설계 및 컴파일 코드 해석 : 감산기를 만들기 위해 반감산기를 먼저 만들어주었다. ... 감산기 (1) 프로젝트 생성, 로직 설계 및 컴파일 코드해석 : 코드를 간단히 하기 위해 Behavior 모델을 사용하였고 4비트 감산기이기에 input [3:0]으로 설정해주었고
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 컴퓨터 구조와 원리 3.0 4장 연습문제
    전가산기를 설계하라 135쪽 그림4-8 입력 A와 B에 대한 전가산기의 진리표를 작성하라 137쪽 표4-3 다음 전감산기의 진리표를 참고하여 빌림수와 차의 불 대수식을 구하라 (139쪽 ... 중앙) -A-B + -AB-Br0 ABBr0 다음 불 대수식을 바탕으로 조합 논리회로를 설계하라. 138쪽 그림4-13 기본적으로 가산기와 감산기는 (조합) 논리회로로 구성된다. ... 가산기와 감산기가 여러 비트를 한번에 처리하기 위해서는 (병렬)연결이 필요하다.
    시험자료 | 3페이지 | 1,000원 | 등록일 2023.12.23
  • 한글파일 (기초회로 및 디지털실험) 4비트 전감가산기 설계 [4 bit adder-subtractor]
    디지털실험 설계 02. 실험제목 : 4비트 전감가산기 설계 [4 bit adder-subtractor] Ⅰ 설계과정 4비트 전가산기와 전감산기의 원리를 이해한다. ... 또한 전감산기와 전가산기는 각각, 반감산기와 반가산기가 2개씩 모여서 만들어 질 수 있다는 것도 알 수 있었다. ... 1개의 OR 게이트로 구성할 수 있다는 원리와, 전감산기의 입력 변수 3자리의 뺄셈에서 차와 빌려오는 수를 구하는 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 한글파일 논리회로실험 예비보고서3
    ·예상결과 : 실험4는 전감산기의 계산법과 진리표를 통해 부울 대수식을 만들어서 회로를 설계하고 모든 입력 조합에 대해 올바른 결과가 나오는 지 확인해보는 실험이었다. ... ·감산기 : 두 개 이상의 입력을 이용하여 이들의 차을 출력하도록 하는 조합논리회로로, 반감산기와 전감산기로 나눌 수 있다. ... ·예상결과 : 실험2는 전가산기의 계산법과 진리표를 통해 부울 대수식을 만들어서 회로를 설계하고 모든 입력 조합에 대해 올바른 결과가 나오는 지 확인해보는 실험이었다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • 워드파일 시립대 전전설2 Velilog 결과리포트 4주차
    값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자. (4) 감산기 : 두 개의 input이 A와 B일 때, A-B는 A+(-B ... 그리고 감산기를 설계하면서 이제까지 그냥 듣고만 넘겼던 2의 보수에 관한 개념을 확실히 잡을 수 있는 계기가 되었다. ... 결론 이번 실험은 Verilog HDL 언어를 이용하여 감산기, 비교기 \를 설계하고 이를 FPGA에 다운로드 하여 스파르탄 보드(HBE_COMBO II) 기기에서 정상 작동하는지
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 컴퓨터구조 ) 전자계산시의 논리회로에서 조합논리회로와 순서논리회로의 차이점을 제시하고 구조 및 특징을 제시하시오. 할인자료
    조합 논리 회로는 입력 신호를 통해 출력을 결정하므로 기억 기능이 없으며, 반가산기, 반감산기, 전가산기, 전감산기는 종류 및 특징을 지니고 있다. ... 조합 논리회로에는 반가산기, 전가산기, 반감산기 등이 존재한다. ... 반감산기, 전가산기와 전감산기를 자세히 서술합니다.- 정의- 진리표- 논리회로- 논리식2) 서론, 본론, 결론, 참고문헌으로 구성합니다.- 서론 : 조합논리회로와 순서논리회로의 개요
    리포트 | 5페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.01.25
  • 파워포인트파일 디지틀 논리회로 실험6 가산기와 감산
    가산과 감산을 할 수 있는 회로를 설계하는 방법을 익힌다 . ... 가산기와 감산기 실험 목적 실험목적 반가산기와 전가산기의 원리를 이해한다 . 반감산기와 전감산기의 원리를 이해한다 . 가산기와 감산기의 동작을 확인한다 . ... 이용한 2 진 4bit 전감산기와 전가산기 결과분석 및 결론 먼저 반가산기와 전가산기를 구성해보았고 반감산기와 전가산기 그리고 2bit 병렬 2 진 가산기 회로까지 회로를 잘 구성하였다
    리포트 | 13페이지 | 2,000원 | 등록일 2019.10.03 | 수정일 2021.10.17
  • 한글파일 A+받은 TTL 7483을 이용한 4자리 가감산
    WinCUPL을 이용한 4자리 가감산설계 및 모의실험 모의실험 결과 전가산기부의 CUPL 코드와 모의실험 결과는 다음과 같다. ... C4에 의해 정해져서 4자리 가감산기 계산이 완성되는 것을 알 수 있고 결과값을 확인할 수 있다. ... 모의실험 결과 XOP 논리부의 CUPL 코드와 모의실험 결과는 다음과 같다. 4자리 가감산기의 출력값의 부호인 S5가 출력된다. 실험결과 및 분석 실험 5-1.
    리포트 | 1페이지 | 1,000원 | 등록일 2019.09.06
  • 워드파일 기초전자설계및실험 예비보고서 - OP Amp를 활용한 가감산기와 미적분기
    감산기 1.V0=a*V1-b*V2 조건을 만족하는 감산기를 구성하고 출력을 확인한다. 2.실험 전 예비보고서 작성할 때 OP Amp의 폐회로 피드백 회로를 이용한 감산기에 대한 이론을 ... 가산기 1) 설계문제 1 : Inverting 가산기 uA741 OP Amp 칩을 사용하여 OP Amp의 기본동작을 확인한다. ... 실험 전 예비보고서 작성할 때 OP Amp의 폐회로 피드백 회로를 이용한 Non-Inverting증폭기에 대한 이론을 제시하고 이 회로에 대한 PSpice를 실행하여 이 값이 이론적으로
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 한글파일 예비보고서(7 가산기)
    감산은 결국 보수에 의한 가산과도 같으므로 실제 회로에서는 대개 감산기를 별도로 설계하지 않고 가산기를 이용하여 감산기로 병용한다. ... 개의 입력에 대한 감산기이며 전감산기는 전가산기와 마찬가지로 세 개의 입력에 대한 감산기이다. ... 또 반가산기와 전가산기의 관계를 그대로 응용하여 그림 5(a)의 반감산기로부터 전감산기를 구성하면 그림 6과 같게 된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 파일확장자 컴퓨터구조 이론 및 실습 [아두이노 논리게이트 및 조합논리회로 실습]
    들을 뺄셈 연산해 그 차이를 출력하는 조합 논리 회로이며, 가산기를 응용한 것이 며, 반감산기와 전감산기 두 종류가 존재하며, 빌림수(borrow)가 존재한다. ... 이 가능한 전가산기 두 종류가 존재하며, 자리올림(carry)가 존재한다. ② 감산기(subtractor) : 두 개 이상의 입력이 있을 경우 입력 하나에서 나머지 입력 ... 논리 연산에 의해 1개의 논리 출력을 얻는 회로논리 게이트 : 논리 회로에서 뜻하는 대로 게이트 종류에 따라 게이트에 입력한 값에 따라 출력하는 값이 다르도록 설계
    리포트 | 49페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 한글파일 [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    이용하여 4-bit 가감산기를 설계하시오. 4-BIT Adder Subtractor 심볼 4-BIT Adder Subtractor 심볼 위 과정(1)에서 생성한 전가산기 4개와 2x1 ... (1)MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오. 두 1비트를 더하는 계산을 할 수 있는 전가산기는 진리표를 바탕으로 구성하였다. ... 전가산기의 심볼 10ns간격으로 입력을 바꿔서 넣었으며 검증결과 진리표대로 결과가 출력되어 정상적으로 기능하는 full adder임을 확인하였다. (2)위에서 생성한 전가산기 셀을
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 한글파일 디지털회로실험 ---6장
    회로를 설계하는 방법을 익힌다. 2. ... 실험 목적 (1) 반가산기와 전가산기의 원리를 이해한다. (2) 반감산기와 전감산기의 원리를 이해한다. (3) 가산기와 감산기의 동작을 확인한다. (4) 가산과 감산을 할 수 있는 ... 실험(6)에서는 2의 보수를 이용한 2진 4-bit 전감산기와 전가산기를 나타내어 회로를 결선한다음 입력 값에 변화에 따른 전 가산기 출력 및 전 감산기 출력을 측정하였다. 4.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.12.02
  • 한글파일 충북대 기초회로실험 반가산기 및 전가산기 예비
    예비과제 (1) 이론 부분을 이해하고 AND, OR 및 NOT 게이트만을 사용하여 전가산기를 설계하라. ... 회로를 구성하고 진리표를 작성하라. (4) 다음은 전감산기 회로이다. 회로를 구성하여 진리표를 작성하라. (5) 2 bit 병렬 2진가산기를 구성하여 실험하고 진리표를 작성하라. ... 반가산기 및 전가산기 (예비보고서) 실험 목적 (1) 반가산기와 전가산기의 원리를 이해한다. (2) 가산기를 이용한 논리회로의 구성능력을 키운다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    Arithmetic Logic Unit) : 산술 논리 장치ALU : 중앙처리장치 속에서 연산하는 부분을 ALU라고 한다.이것은 산술연산과 논리연산을 하는 유닛이다.* 산술연산 회로- 전가산기와 ... 멀티플렉서로 이루어진 회로- 두 개의 입력 A, B와 출력 D가 존재- 가산, 감산, 증가, 감소 등의 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가 정해진 ... 하는 언어로 초보자도 쉽게 회로 설계를 할수 있는 IEEE 표준언어
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 한글파일 [A+보고서] 회로실험 카운터 회로 예비보고서
    (단, MyCad의 ‘시그널 합치기...’를 이용하고, 입력 CLK의 주기는 60ns이다.) (5) JK 플립플롭을 이용한 동기식 감산 16진 카운터를 설계하고 각 지점의 파형을 도시하라 ... . (6) 리플캐리 감산 16진 카운터를 설계하라. (7) 회로에 대해 다음의 입력파형에 대한 FF의 출력 Q[3:0]를 그리고 기본 ring 계 수기에 비해 어떤 장점이 있는지 설명하시오 ... 실험 목적 (1) 비동기식 카운터의 구조와 동작원리를 이해한다. (2) 동기 계수기의 구조와 동작을 이해한다. (3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다. (4) 증계수
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 CPU의 논리회로 구성에서 연산장치와 제어장치에 대해 설명하세요.
    종류에는 전가산기, 반가산기, 자리올림 예측 가산기, 리플 캐리 가산기, 자리올림 저장 가산기 등이 있다. ... 감산기도 존재하는데, 감산은 가산기에서 응용으로 계산하는 방식이기 때문에 가산기를 이해하면 받아들이기 쉽다. 보수기는 입력에 따라 보수를 취해주는 기능을 해준다. ... 이런 발전이 계속되고 있지만 컴퓨터의 근본적 설계 개념은 크게 달라지지 않았기 때문에 컴퓨터의 구조를 충분히 학습하고 가야하는 이유가 생긴다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.12.13
  • 한글파일 VHDL-1-가산기,감산
    두 가지 설계 모두 반가산기의 Truth table과 동일하고 알맞게 설계: out std_logic); end component; component OR_VHDL port(A, B ... 따라서 XOR에 0을 넣으면 가산기, 1을 넣으면 감산기 역할을 하는 회로를 만들 수 있다. 이것을 진리표로 작성하면 다음과 같다. ... 입력되기 전에 넣는다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 한글파일 울산대학교 전자실험예비23 디지털 조합 논리회로와 순서 논리회로
    조합 회로의 소자로는 게이트 그 자체가 기본이고 반가산기, 전가산기, 감산기 종류, 디코더, 멀티플렉서 등이 있다. ... 회로를 설계 할 때는 회로가 차지하는 면적,속도,전력손실 등의 요인을 모두 고려하여야 한다. 3.시뮬레이션 값 (1)조합회로 (2)동기식 순서회로 ... 비동기 회로는 clock이 없으므로 반도체 면적을 많이 차지하지 않고 빠른 동작을 이룰 수 있는 장점이 있지만 회로설계가 동기식 순서회로처럼 간단하지 않다.
    리포트 | 1페이지 | 1,000원 | 등록일 2019.10.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 01일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:54 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기