• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[컴퓨터 LOGIC]VHDL을 이용한 실습

*현*
최초 등록일
2005.11.17
최종 저작일
2005.10
8페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

우선은 전가산기 구현이 Signal방식과 Variable방식 이렇게 두가지로 되어있다.

Signal을이용한 Data-flow방식과 Process문으로 작성한 소스가 존재한다.

목차

1. signal a, b 이용, Dataflow, a와b는 3 downto 0 인 vector.
2. signal a, b 이용, Process문의 사용
3. 전가산기의 구현 vol.1 (by. signal)
4. 전가산기의 구현 vol.2 (by. variable)

본문내용

library ieee;
use ieee.std_logic_1164.all;

entity choi_adder is
port(a, b, ci :in bit;
sum, co :out bit);
end full_adder;

architecture data_flow of choi_adder is
signal x,y,z:bit;
begin
x<= a xor b;
y<= x and ci;
z<= a and b;
sum <= x xor ci;
co <= y or z;
end data_flow;
=>전가산기 구현

참고 자료

없음
*현*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
[컴퓨터 LOGIC]VHDL을 이용한 실습
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업