• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

vhdl과 fpga kit을 이용한 디지털 계산기 설계

*지*
개인인증판매자스토어
최초 등록일
2010.01.16
최종 저작일
2009.06
10페이지/한글파일 한컴오피스
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

성균관대학교 논리회로설계실험 09년 1학기 수업입니다.
매년 공통된 과제를 설계합니다. A+ 받은 자료입니다.
레포트 안에 기본 레포트 및 소스 코드까지 들어있습니다.

목차

1. Purpose
2. Problem Statement
3. Sources & Results
<소스 중간 과정> - FPGA킷과 연계
< Results wave >
< 실험에 대한 고찰 >

본문내용

1. Purpose
Xilinx 소프트웨어를 이용하여 계산기의 소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 계산기능을 수행하는지 여부를 확인한다.

2. Problem Statement
① Describe what is the problem.
4비트 덧/뺄셈기를 설계하여 직접 임의 값을 입력하여 계산을 하여 값이 LCD에 표시하는지 확인한다. 덧셈과 뺄셈은 unsigned로 하며, 연산을 위한 숫자 두 개는 dip 스위치를 이용해서 설정하고 push 스위치를 통해서 각각 입력해야 한다. 연산자는 두 개의 다른 push 스위치를 이용해서 입력한다. 계산 시 overflow는 고려하지 않는다.

② Describe how do you solve the problem.
Xilinx Pace 메뉴를 사용하여 주어진 pin을 매칭시키고, Configure Device메뉴를 이용하여 FPGA킷에 프로그래밍 할 bit파일을 생성하게 된다. 강의안 및 교수님께서 올려주신 소스파일을 참고하여 설정을 한 후, 마지막 화면에서 Program Succeeded창이 뜨면 동작이 검증된 것이다.

3. Sources & Results
<소스 중간 과정> - FPGA킷과 연계

* 다른 부분은 집에서 해보니 cable check 오류메시지가 떠서 캡쳐 하지 못하였습니다.

<빨간색 표기부분은 본 레포트 각 소스파일 하단부의 주석 부분임을 명시한다.>
<lcd_test.vhd의 소스>
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity LCD_test is
port ( FPGA_RSTB : IN std_logic;
FPGA_CLK : IN std_logic;
LCD_A : OUT std_logic_vector (1 downto 0);
LCD_EN : OUT std_logic;
LCD_D : OUT std_logic_vector (7 downto 0); …… ①
data_out : in std_logic;
addr : in std_logic_vector(4 downto 0);
data : in std_logic_vector(7 downto 0);
w_enable : out std_logic );
end LCD_test;

참고 자료

없음

자료후기(1)

*지*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기 10페이지
    참고문헌 양영일, 『VHDL이용한 디지털 논리회로 설계』, 미래컴(2010 ... FPGA kit 실험 결과 < 핀할당 > 연산 결과 9이상의 값들로 덧셈을 ... ) 노승환, 『디지털 시스템 설계 및 실습』, 한빛아카데미(2017)
  • 한글파일 서강대학교 디지털논리회로실험 8주차결과 5페이지
    실험 목적 ● 4 bit 곱셈기를 설계한다. ● 설계한 곱셈기를 구현하여 ... 디지털논리회로실험 실험8. ... 이를 이용하여 Booth multiplier를 설계한다면 보다 빠른 연산을
최근 본 자료더보기
탑툰 이벤트
vhdl과 fpga kit을 이용한 디지털 계산기 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업