• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털도어락(digital door lock)설계-논리회로설계실험

*민*
개인인증판매자스토어
최초 등록일
2009.10.23
최종 저작일
2009.06
16페이지/한글파일 한컴오피스
가격 4,000원 할인쿠폰받기
다운로드
장바구니

소개글

중간고사 대체 과제로 만든 디지털 논리 회로 설계 실험 소스입니다.
디지털 도어락을 설계한 것으로 좋은 점수 받았습니다.

프로그램 작동원리, 변수들에 대한 설명, 소스 코드, 테스트벤치코드 소스, 시뮬레이션 결과 파형까지 모두 들어있습니다.

목차

1. 프로그램 설명 - 프로그램작동개요와 변수 등을 설명
2. 프로그램 개요 - 프로그램 작동 원리 설명
3. source code와 주석
4. test bench source code와 주석
5. 시뮬레이션 result

본문내용

1. 프로그램 설명
흔히 전자키로 쓰이는 digital door lock을 간단하게 설계해 보았다. 비밀번호를 변경하는 기능과 문을 여는 기능 두 가지를 수행할 수 있다.
먼저 비밀번호는 ‘*’과 ‘#’을 제외한 6가지 숫자로만 설정할 수 있다. 이 비밀번호를 올바르게 입력하면 open_door값이 ‘1’로 되어 문이 열린다고 가정하였다. 중간에 비밀번호가 틀리게 입력되면 error값이 ‘1’이 되어 처음의 상태로 돌아가도록 하였다.
비밀번호 변경은 ‘*’ 입력 -> 기존 비밀번호 입력 -> ‘*’ 입력 -> 변경할 비밀번호 입력 -> ‘#’ 입력을 하면 비밀번호가 변경되도록 하였다. 중간에 입력이 잘못되면 마찬가지로 error가 ‘1’로 되어 처음 상태로 돌아가도록 하였다.

만약 직접 이 프로그램을 가지고 도어락을 만들 때 error가 ‘1’이 되면 전자키에서 소리가 나도록 하면 좋을 것 같다.

Input
① rst : reset 신호, rst가 `0`일 때 동작하고 ‘1’이 되면 reset된다. reset 될 때, 비밀번호가 다시 초기값 000000으로 돌아가도록 할까 하였으나, 실제 도어락 모델에서 reset버튼을 누른다고 해서 비밀번호가 초기화 된다거나 하면 곤란하므로 그냥 유지되도록 하였다. std_logic형 이다.

② clk : clock 신호이다. 클럭의 상승에지에 동작하도록 설정하였다. std_logic형이다.

③ key_num : 실제 도어락에서 버튼의 역할을 하는 input값이다. 편리함을 위해 integer형으로 선언하였고 0~#까지의 12개의 버튼이 존재 하므로 범위도 0~11까지로 하였다. 여기서 *은 10, #은 11로 가정하였다.

Output
① error : 중간에 잘못된 비밀번호를 누르거나, 비밀 번호 변경 시 * 또는 # 버튼을 잘못 누른다거나 할 때 값이 ‘1’로 변하는 std_logic형 output값이다. 실제 모델 설계 시엔 error값에 따라 소리가 나거나 하게 만들면 유용할 것이라 판단되어 error값을 설정하였다.

참고 자료

없음

자료후기(1)

*민*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계 14페이지
    실험(1) 프로젝트 : Door-Lock 설계 지시사항 (1) 총 3개의 ... 2018년 1학기 실험(1): 디지털 논리회로 1 2018년 Final Project ... Door-Lock Project 설계 지시사항3 2.
  • 한글파일 디지털 회로 설계-도어 5페이지
    도어Digital Door lock 김경준(20092450), 김우철 ... 개발 목표 디지털 회로 설계 시간에 배운 내용을 바탕으로 디지털 도어락을 ... 기초학문인 디지털을 배움으로써 기본 논리학과 기초 디지털 설계를 배우고 이에
  • 한글파일 디지털 도어록 프로젝트 보고서 13페이지
    도어락(Door Lock)란 말 그대로 도어(문)을 열고 잠그는 기계적 장치이다 ... 디지털 도어락 전문기업 아이레보의 `게이트맨 투구(TUGU)-i'는 버튼식ㆍ반도체키 ... 목 차 디지털 장치 선정 및 목적 1.1 도어락 선정 1.2 목적 및 목표
  • 한글파일 디지털도어락 만들기 프로젝트 결과보고서. 9페이지
    실험 디지털 도어락 Project 결과 수행 보고서 학번 이름 학과 전기전자과 ... 초기 설계할 때는 회로에 저항은 생각지도 못했는데 , 저항의 역할, 레귤레이터 ... D플립플롭을 추가하여 불을 표시 할 수 있도록 사용하였다. < 2주차에 만든 논리
  • 한글파일 논리회로설계실험 프로젝트_digital door rock 44페이지
    과 목 : 논리회로설계실험 과 제 명 : 프로젝트 결과보고서(P_6조) ... 정 광 수, 손 계 익 제 출 일 : 2011. 6. 21 11_1학기_논리회로설계실험 ... 이와 같은 디지털 도어록을 설계하기 위해서 기본적으로 필요한 개념이론들은
더보기
최근 본 자료더보기
탑툰 이벤트
디지털도어락(digital door lock)설계-논리회로설계실험 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업