• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로설계실험 프로젝트_digital door rock

*영*
최초 등록일
2012.03.20
최종 저작일
2011.06
44페이지/한글파일 한컴오피스
가격 4,000원 할인쿠폰받기
다운로드
장바구니

소개글

2011년 만점 받은 프로젝트 보고서입니다. 보고서 안에 소스코드와 함께 자세한 설명이 첨부되어 있으며 장장 40 페이지에 달합니다.

목차

1. Digital Doorlock의 개요
2. 설계 관련 이론
3. Digital Doorlock 전체 블록도
4. 동기식 카운터 설계
5. 소스 코드 및 분석
6. 고 찰

본문내용

6. 고 찰
여기서 digital door lock의 설계는 simulation에 보이는 작동여부에 대한 것이기에 카운터에 관한 설계부분은 ns 단위로 코딩하였다. 예를들어 실제에서는 문이 열렸다가 잠기는 부분에 대해서는 수초의 시간이 걸리지만, simulation을 위해 수 ns의 단위로 코딩되었다.
이제껏 학기동안 수업시간에 배운 내용을 토대로 실생활에서 흔히 볼 수 있는 digital door lock에 몇 가지 기능을 추가하여 설계해보았다. 또한, 이번 보고서에서는 동작원리에 따른 회로의 code 뿐만 아니라 hardware적으로도 어떻게 회로에 신호가 들어가고, 사용자의 눈에 보이며 작동하는지에 대해서도 좀 더 자세히 생각해보았다. 얼마 없는 배운 지식으로 실제와 똑같은 기능과 +α까지 설계하려다 보니, 만만치 않은 작업이었다. 더 많은 구현문법과 VHDL에 대한 지식이 훨씬 더 많았더라면 간단하게 설계할 수도 있었을 법 했지만, 우리만의 힘으로 simulation 상에서라도 실제와 거의 흡사하게 나온 결과에 매우 만족스러웠다. 하지만, 간단한 FSM 설계방식과 if나 case문 같은 간단한 문법을 이용하여 설계하다보니 프로젝트 종료 후의 코드는 어떻게 보면 굉장히 난잡해 보이기도 하였다. 모든 설계를 시도할 시 처음 간단한 block diagram으로의 도식화와 전체적인 Frame으로부터 차근차근 생각했던 기능이나 표현 등을 구현해나가는 것이 굉장히 중요하다는 것도 깨달았다. 생각했던 것과 달리 시행착오도 많이 겪고, 생각했던 대로 simulation이 되지 않아, 시간도 많이 걸렸지만 그 순수하게 우리의 힘으로 300 line에 가까운 VHDL코드를 작성, simulation에서 성공적으로 구현되었다는 것에 매우 보람을 느낀다.

참고 자료

없음
*영*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
논리회로설계실험 프로젝트_digital door rock 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업