• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(29)
  • 리포트(23)
  • 자기소개서(6)

"vhdl 도어락" 검색결과 1-20 / 29건

  • 파일확장자 디지털 시스템 설계 디지털 도어락 VHDL 레포트
    VHDL 코드(스위치 디코더)VHDL 코드(비밀번호 입력)VHDL 코드(비밀번호가 맞을 때의 동작)VHDL 코드(비밀번호 수정)VHDL 코드(비밀번호가 틀릴 때의 동작)VHDL ... 코드(Res 에 따른 LED출력)VHDL 코드(Seg 디코더)VHDL 코드(Seg 분할 및 출력)
    리포트 | 25페이지 | 3,000원 | 등록일 2014.06.06
  • 파일확장자 디지털시스템설계 - VHDL을 활용하여, ALTERA칩으로 DOOR LOCK(도어락)을 구현하였습니다.
    작품 개요- If문, case문, state문 이용.- Password 입력 시, 저장된 Password와 입력한 Password가 같으면 녹색 LED가 들어와, 문이 열렸다는 것을 표현했고, Closed 상태에는 빨강 LED가 항상 출력되 있도록 만들었다. 클럭 분..
    리포트 | 15페이지 | 3,000원 | 등록일 2013.01.23
  • 파일확장자 아주대 논리회로 VHDL 두 번째 과제 door lock
    (1) 문제 설명 및, 예상결과. 설정한 비밀번호가 5017인데, 입력한 비트 4비트 4개와 각 자리의 비밀번호를 비교해봐서 같으면 wrong이 0이 출력이 되고, 다르면 1이 출력이 된다.5017의 값을 넣지 않는 이상 wrong이 1이 하나라도 존재할 것이며, 따..
    리포트 | 3페이지 | 4,000원 | 등록일 2014.03.23
  • 파일확장자 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    모듈에서 이 컴포넌트들을 관리한다. 2.구현 방법 & 결과의 구조 및 구성모드의 구현가장 먼저 모드를 구현하는데 모드는아무것도 안하는 기능을 하는 menu모드 , 문열기 모드 , 도어락 ... )beginif reset = '1' thencnt ... = '0' thensori_in_temp1(0)
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • 파일확장자 디지털 도어락 설계 코드, 보고서 ,ppt
    1) FPGA 실습키트를 활용하여 디지털 도어락을 구현한다. 2) Switch 입력과 FND 및 LED 출력을 위한 VHDL 코드를 작성한다. 3) 디지털도어락 동작을 위한 내부 상태머신을 ... 설계 및 구현하고, 상태 전환이 설계 사양대로 동작함을 확인한다. 4) 디지털 도어락VHDL 코드를 이용하여 구현하고, 이를 Modelsim으로 검증하며, FPGA 실습 키트에 ... State Machine : 스위치 신호 입력과 PassWD 블록에서 제공되는 정보를 이용하여 디지털 도어락의 상태 머신을 구동한다.
    리포트 | 5페이지 | 4,000원 | 등록일 2016.05.31 | 수정일 2021.12.08
  • 한글파일 VHDL VLSI SOC 설계 doorlock
    Behavioral of door_lock istype states is (ready, in_start, in_end, door_con, end_state);signal state ... ;entity door_lock is Port ( CLK : in STD_LOGIC;RST : in STD_LOGIC;ps_start : in ... : out STD_LOGIC; state_out : out STD_LOGIC_VECTOR (4 downto 0) );end door_lock;architecture
    리포트 | 8페이지 | 1,000원 | 등록일 2018.11.18
  • 한글파일 VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    이미 한 번 쯤은 경험해 보았지만 처음으로 배운 VHDL에서 간단한 칩으로만 만들었던 회로를 VHDL 방식으로 변환시켜 그것을 응용함으로써 더욱 완벽히 VHDL에 대한 것을 알아 가기 ... 있게 되고 결과물이 실 생활에서 사용 가능하기 위한 용이성을 생각해보고 프로젝트를 성공 했을때 성취감을 얻는데 목표을 두고 있습니다. 2.개발내용(소스코드, 설명) entity clock ... seg_out = 세그먼트에 a~f 신호 통제 apm_out = am,pm LED 통제 date_out = 요일 LED 통제 architecture digitalclock of clock
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • 파일확장자 아주대 논리회로 DOOR LOCK term project
    VHDL을 이용한 코딩으로 ModelSim 있어야 돌아갑니다.
    리포트 | 12페이지 | 2,000원 | 등록일 2015.12.24
  • 파일확장자 [VHDL][논리회로] 시계설계(서브모듈이용)
    [VHDL][논리회로] 시계설계(서브모듈이용) A+받은 설계 입니다 플래그도 이용
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2021.06.09
  • 한글파일 2018 CJ올리브네트웍스 소프트웨어개발, 자소서, 자기소개서
    가장 처음 개발한 것은 atmega128을 이용하여 도어락을 만들었습니다. ... 이유 ② 해당 사례에서 본인이 스스로 끈기를 가지고 노력한 과정과 결과 ③ 이를 통해 입사 후 기여할 수 있는 점은 무엇인지에 대하여 작성해 주세요. (1500자) ① 3학년 때, VHDL
    자기소개서 | 2페이지 | 5,000원 | 등록일 2018.05.17
  • 한글파일 2018 LG CNS 자소서, 자기소개서, SW개발직
    C언어를 이용하여 ATmega128로 도어락도 만들어 보았고, 간단한 콘솔게임도 만들어 보았습니다. 아두이노를 이용한 ‘IOT 스마트 홈 시스템’이라는 프로젝트도 진행했었습니다. ... 데이터베이스시스템 수업에서 mysql을 다뤄보았고, 임베디드시스템 수업에서는 FPGA를 이용하여 VHDL 프로그래밍언어로 프로젝트도 진행하였습니다.
    자기소개서 | 2페이지 | 6,000원 | 등록일 2018.05.17
  • 파일확장자 디지털 도어락 만들기
    VHDL 문법을 이용하여 비밀번호를 입력 받고, 그 비밀번호에 따라 DOOR를 OPEN 하는 소스 입니다. 레포트를 작성한 한글 파일도 동봉하여 올립니다.
    리포트 | 6페이지 | 4,000원 | 등록일 2007.06.20 | 수정일 2014.01.14
  • 파워포인트파일 디지털 도어락 설계 PPT
    1~3 세대 디지털 도어락은 문만 잘 잠그는 수동방어 시스템 이다 기존 제품과의 차이점 하지만 4 세대 도어락의 가장 큰 특징은 위험상황 ( 비밀번호 오류시 ) 발생시 현장을 촬영 ... 즉 도어락은 침입자라 판단되면 현장을 찍은 사진을 메인 PC 에 저장 및 집주인의 핸드폰으로 전송하여 상황을 보고한다 .
    리포트 | 10페이지 | 1,000원 | 등록일 2013.03.15
  • 한글파일 2016년도 하반기, 한국전력공사, 한전, 전산직, 통신직, IT직, 자소서, 자기소개서, 공기업
    처음으로 한 프로젝트가 c언어로 ‘똥 피하기’ 게임을 만든 것이고, AVR ATmega128 보드를 이용한 도어락 만드는 프로젝트가 가장 생각이 납니다. ... VHDL은 c언어나 JAVA와 달리 하드웨어 언어이기 때문에 굉장히 복잡했습니다. ... 몰입해 보았던 경험에 대해 기술하여 주십시오. /400자 3학년 과목 중에 디지털합성설계프로젝트 과목에서 FPGA(field-programmable gate array)를 이용하여 VHDL
    자기소개서 | 7페이지 | 6,000원 | 등록일 2016.11.08 | 수정일 2018.05.11
  • 한글파일 응용논리회로 엘레베이터 VHDL 레포트
    port---------- c_start: in std_logic;--clock start rst2, clk_set: in std_logic;--clock reset, clock ... 응용논리회로 -Term project- -Elevator controller- Block diagram VHDL 코드 library IEEE; use IEEE.std_logic_1164 ... 10count display person_cnt1_display: out std_logic_vector(6 downto 0); --person 1count display ---------clock
    리포트 | 29페이지 | 2,500원 | 등록일 2013.06.15
  • 파워포인트파일 Door Lock 프로젝트 계획서
    Motor DoorLock Open/Close부분. Max232 Rs232통신을 위하여 사용. ... ..PAGE:1 Door Lock 제작 계획서 2009년 09월 01일 호서대학교 전자과 황선필 전자과 양철용 ..PAGE:2 C o n t e n t s Contents ▷ 1. ... 제작 목적 및 목표 ▷ 제작 목적 이번 Project인 Door Lock을 제작 함으로서, 좀 더 마이컴의 여러 가지 사용방법을 알아보고, 목적에 맞는 마이컴 선정 및 제어방법을 익히는
    리포트 | 11페이지 | 1,000원 | 등록일 2011.04.19
  • 한글파일 Term Project Report Traffic Light Controller
    are accomplished. clock_divider like module names divides clock of rapid pace and interpretation it ... Traffic Light Controller Code of experimental data analytical, gain and loss, the process which it amends VHDL ... condition from the board and with Simulation results comparison it analyzes an operational condition. ▶ VHDL
    리포트 | 16페이지 | 5,000원 | 등록일 2011.06.09
  • 파워포인트파일 Digital Door Lock System // 디지털 도어락 도어 락 시스템 설계결과 발표서
    결과 요약 및 미진 사항 결과 요약 디지털 도어락의 Memory 영역을 설계하여 비밀번호를 저장 후 , 비교기 를 통한 비밀번호 비교 후 , Output 을 얻는 형식의 Door Lock ... 결과 요약 및 미진 사항 미진 사항 1) 일반 도어락은 12 개의 버튼을 가지고 있지만 , OR 과 AND 만으로 입력의 제한이 있었다 . ... Digital Door Lock System 전자공학설계 III 15 조 Contents 1. 전체 시스템의 block diagram 2. Upgrade 또는 수정된 내용 3.
    리포트 | 18페이지 | 4,500원 | 등록일 2009.12.14
  • 한글파일 VHLD을 이용한 Digital Door-Lock(디지털 도어락)
    공동 현과 Door-lock과 Elevator, 개인 현과 Door-lock을 연계시켜서 설계. 2. ... PROJECT Digital Door-lock 8조 200030551 박세연 200132905 최봉준 1. project 설명 아파트에서 사용할 수 있는 Digital Door-lock을 ... 해결 1)Common 블럭 아파트의 공동 현관 Door-lock을 먼저 설계한다.
    리포트 | 1,500원 | 등록일 2008.01.08
  • 한글파일 VHDL을 이용한 엘리베이터 설계
    [Project 2] VHDL을 이용한 엘리베이터 설계 1. ... 테스트 벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. ... current := "001"; en_up
    리포트 | 6페이지 | 4,000원 | 등록일 2011.07.05 | 수정일 2014.10.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업