• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(229)
  • 리포트(214)
  • 자기소개서(12)
  • 이력서(3)

"VHDL led" 검색결과 1-20 / 229건

  • 한글파일 VHDL을 이용한 LED 제어 소스코드
    + 1; when X"01" => LED if LED = X"FF" then LED ... ; LED if cnt_led2 >= 5 then cnt_led2 := 0; else cnt_led2 := cnt_led2 ... = '1' then LED LED
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.18
  • 한글파일 VHDL을 이용한 LED, LCD 문자출력
    본 론 [ Function문을 사용하여 VHDL을 작성하시오. ] < 7Segment LED 실행소스 > [ led_control.vhd ] library ieee; use ieee.std_logic ... VHDL을 이용한 LED와 LCD 문자출력 [ R E P O R T ] 과 목 명 : 담당교수 : 학 과 : 학 번 : 학 년 : 성 명 : 제 출 일 : [실습평가] 본인의 학번과 ... Dynamic Control 방식이기 때문에 ROM에서 출력된 Data 선이 7Segment LED로 공통 BUS를 통하여 연결되게 된다.
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • 한글파일 [토끼] VHDL로 구현한 4 state 구현, Altera 보드로 LED2 상태로 시뮬레이션 및 확인
    과제: 1) Model Sim에서 Simulation 2) Synplify (RTL view) 아래 그림의 상태도와 Block diagram을 참고하여 VHDL로 구현 및 합성 ... _1: out std_logic; -- S0 LED ON LED_2: out std_logic; -- S1 LED ON LED_3 : out std_logic; -- S2 LED ON ... LED_4 : out std_logic -- S3 LED ON ); end state_machine; architecture BEHAVE of state_machine is type
    리포트 | 16페이지 | 3,000원 | 등록일 2013.01.17 | 수정일 2020.07.13
  • 파일확장자 [vhdl vhdl] MAX+2용 A~Z,ㄱ~ㅎ까지 LED로 디스플레이
    library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity DISP isport(clk, rst : in std_logi..
    리포트 | 7페이지 | 2,500원 | 등록일 2004.06.11
  • 한글파일 LED 도트메트릭스(dot matrix)로 숫자 표현하기[Xilinx칩에 VHDL소스를 이용]
    LDM(LED Dot Matrix)를 통한 간단한 숫자 표현 작성자 : ※ 목차 1. LDM(LED Dot Matrix)구현 목적 2. ... LDM(LED Dot Matrix)의 구조 가. LDM의 구조 1) LDM은 LED를 8X8 / 8X16 / 16X16로 구성하여 만든다. ... LDM(LED Dot Matrix) 프로그램 소스 및 분석 가.
    리포트 | 13페이지 | 1,500원 | 등록일 2008.01.01
  • 파일확장자 [디지털시스템] Project1 보고서 VHDL을 이용한 7-Segment LED 태스트 (소스포함)
    1 Introduction이번 실습에서는 digital clock chip 에 있어 사용되는 7-segment driver 를 VHDL(VHSICHardware Description ... 이번 실습에서는VHDL 코드를 가지고 이 7-Segment 를 쉽게 구동할 수 있는 Device Driver 를 설계하는것이다.Segment 의 특징7-Segment Display ... the problem.7 Segment 의 동작 원리를 알아보고 알맞은 입출력표를 만들어 VHDL 로 설계한다.2.2.1 7 Segment 의 동작 원리HDSP-5501 의 3 번과
    리포트 | 12페이지 | 3,000원 | 등록일 2008.07.07
  • 한글파일 vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    std_logic; seg_data : out std_logic_vector (7 downto 0); seg_com : out std_logic_vector (7 downto 0); led_mode
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다. ... 지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다. 2) 설계 목표 VHDL을 이용하여 스탑워치를 만든다. ... 반대로 Common Cathode 방식은 모든 LED의 공통단자가 1 1 0 1 1 3.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    VHDL을 이용한 구현 (optional) STEP 19: 그림 34 – 7-segment display의 진리표 위의 code를 ISE의 text file에 입력한다. ... 보통 2n개의 ND BTN_0 LED_2 = BTN_1 AND (BTN_0)’ LED_3 = BTN_1 AND BTN_0 이다. ... 연결되어 있고, 원하는 LED에 할당된 핀에 high를 입력시킬 경우 LED가 켜지는 원리이다. 3.3 Encoder encoder는 출력이 입력보다 적은 bit수를 지니는 code
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    실험 목표 디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 엔코더는 음성 신호 처리, 아날로그 신호의 디지털화에 적용되며, 엘리베이터의 스위치를 누르면 LED가 표시되는 것과 직렬 데이터를 통신라인의 특성에 맞추는 데에도 엔코더가 사용된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    A~G까지7개 DP 1개, 총 8개의 LED가 내장 되어 있다. ... 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로으 내부적인 수치를 보여주는데 자주 사용된다. 7세그먼트는 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 한다 ... , VHDL (2) 2비트 2x1 Mux Schematic , VHDL (3) 1비트 1x2 Demux Schematic , VHDL (4) 2x4 Decoder Schematic
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    [3], LED[2], LED[1], LED[0]을 통해 확인하였다. ... [3], LED[2], LED[1], LED[0]을 통 해 확인하였다. ... [0], LED[1], LED[2]을 통해 확인하였다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 시립대 전전설2 Velilog 결과리포트 2주차
    1 LED 2 ① ② ④ 5. ... 후에는 연결하고 원하는 LED 파형을 출력할 수 있었다. ... 교안과 인터넷 등을 참고하여서 각각의 로직을 만들고 연결하면서 최종적으로 HBE COMBO에 연결하여 원하는 LED 파형을 얻을 수 있었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 VHDL코드를 이용한 4비트 감가산기 구현
    결과 VHDL CODE를 이용하여 소스를 구성하고 이를 Digcom v3.2에 구현을 하여 정상 작동하는지 확인 해보았다. 비고 이oo 4비트 감가산기 설계 1. ... 한다. ⑦ over값과 under값이 둘다 0일 경우 res 값을 sum에 입력하여 그 sum을 LED3~LED6에 2진수의 꼴로 표현된다. 3. ... V3.32와 quartusII를 이용한 4비트 감가산기 구현 과목명 디지털 시스템 담당교수 ooo 교수님 기간 - 설계 배경 디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    퀴즈 2번의 결과를 VHDL로 설계하고 FPGA로 구현하여 동작 확인 VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다. ... INPUT OUTPUT DIP_SW[1] DIP_SW[0] LED[3] LED[2] LED[1] LED[0] 0V 0V 4.97V 5.01V 73.3mV 5.019V 0V 5V 71.57mV ... [표 4]의 priority encoder를 VHDL로 설계하고 FPGA로 구현하여 동작 확인 VHDL 코드 및 FPGA를 통한 구현 결과는 아래와 같다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    S: LED9 나. ... Verilog는 C를 기반으로 하여 일반적으로 VHDL에 비해 배우기 쉽다. 그에 반해 VHDL은 배우고, 프로그래밍 하기에 조금 더 어렵다. ... /technology/difference-between-verilog-and-vhdl/" http://www.differencebetween.net/technology/difference-between-verilog-and-vhdl
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다. Vhdl를 이용한 코드 . ... 예시로 0을 보면 G획을 제외한 모든 데이터가 0인데, led가 0일 때 켜지므로 가운데 획을 제외한 모든 획이 켜져서 0을 나타냄을 알 수 있다. ... 각 획에는 led가 내장되어 있어 점등으로 표시를 하고 맨 위쪽 가로 획부터 시계 방향으로 마지막 가운데 가로 획 까지 각각 A~G의 이름으로 불린다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    (입력: BUS SW, 출력: LED1~4) (1) Verilog HDL와 simulation 비트연산자를 통한 4bit_xor190 실제 핀 LED 12 LED 11 LED 10 ... 배경 이론 Verilog HDL과 VHDL의 장단점 (1) HDL (Hardware Description Language) 먼저 Verilog HDL과 VHDL을 포함하는 HDL에 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다. (2) Verilog HDL과 VHDL Verilog HDL과 VHDL
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 1 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 AND gate를 설계한 후 led동작을 확인해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증,
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • 워드파일 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오. ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... / S: LED9 - 테스트 벤치는 다음의 코드를 사용한다. - 나와야 하는 결과 4.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업