• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(113)
  • 리포트(93)
  • 시험자료(13)
  • 방송통신대(4)
  • 자기소개서(2)
  • 논문(1)

"Entity Sets" 검색결과 81-100 / 113건

  • 파일확장자 Quartus2를 이용한 오감만족 보일러~!
    II Version ; 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition ; ; Revision Name ; fullsub ; ; Top-level Entity ... -----------------------------------------------------------------------------------------+ ; Fitter Settings ... -----------------------+ ; fullsub.bdf ; yes ; User Block Diagram/Schematic File ; C:/Documents and Settings
    리포트 | 15페이지 | 2,000원 | 등록일 2008.11.29
  • 파워포인트파일 [영문]Not all M&As Are Alike-Matters
    or help a growing company in a given industry grow rapidly without having to create another business entity ... 1 step 2 step After M A Choose a manager for integration Set objectives aimed for Organizational culture
    리포트 | 34페이지 | 4,000원 | 등록일 2008.06.09
  • 워드파일 유,무선 메쉬네트워크정리(Mesh Network)
    이를 기준으로 ESS(Extended Service Set) 메쉬는 DS(Distribution Services)계층과 WM(Wireless Medium) 계층 사이에 존재하게 되며 ... 표준화 범위 DS(Distribution Services) 계층은 802.11 장비의 접속, 해제, 분산, 통합 등의 기능을 하며, MLME(MAC Layer Management Entity
    리포트 | 7페이지 | 1,000원 | 등록일 2009.04.27
  • 파워포인트파일 [분석자료]DBMS의 모든 것 (DBMS의 필요성,정의.발전단계,구축의 장단점,기능 및 주요개념 등 정리)
    Occurrence) 개체가 그 개체를 구성하고 있는 속성들이 어떤 실체값을 가짐으로써 실체화된 것 개체집합(Entity Set) 개체 어커런스들의 집합 개체타입(Entity Type ... 보안(security) 유지, 권한(authority) 검사 동시 사용에 따른 데이터의 정확성 유지하도록 병행 제어(concurrent control) DBMS의 주요개념 개체(Entity ... 상태를 기술 데이터의 가장 작은 논리적 단위 파일 구조에서 데이터 항목 또는 필드의 대응 도메인 한 속성이 취할 수 있는 모든 값의 총칭 DBMS의 주요개념 개체 어커런스(or Entity
    리포트 | 24페이지 | 1,000원 | 등록일 2006.01.04
  • 파워포인트파일 전자상거래보안
    Encryption) 복호화 키 = Private Key (Decryption) ..PAGE:16 공개키 기반구조 최상위 인증기관 (Root CA) 등록기관 (RA) 디렉토리 가입자 (Entity ... 전자상거래 보안기술 (1) 암호 알고리즘 대칭키 암호 시스템 공개키 암호 시스템 (2) SET 2. 네트워크 장비를 이용한 보안기술 방화벽 IDS 3. ... 1.SET을 이용한 신용카드 거래자는 이중서명(Dual Signature)을 사용하므로, 상점에서 신용카드거래자의 주문내역과 지불내역을 알 수 없다. 2.신용카드거래자는 거래의 초기
    리포트 | 34페이지 | 1,500원 | 등록일 2007.04.20
  • 워드파일 Organizational Behaviour
    will allow organisations to best determine the method of motivation that will provide growth for each entity ... Characteristics model recognizes characteristics that benefit both the individual and the company, the Goal Setting
    리포트 | 21페이지 | 4,500원 | 등록일 2007.08.17 | 수정일 2013.12.08
  • 한글파일 [Patran/Nastran] Patran/Nastran(유한요소프로그램)을 이용하여, plate에 하중을 가한 후 언로드 시켰을 때의 잔류응력을 알아보기 위한 탄소성 해석 순서
    Action: Create Object: Force Type: Nodal New Set Name: f1 Input Data... ... 분포하중을 줄 영역에서 네 모서리 지점을 SHIFT누른 상태에서 각각 선택) Add OK Apply ※'Toggle visible entities only selection'아이콘 ... Action: Create Object: Force Type: Nodal New Set Name: f2 Input Data...
    리포트 | 19페이지 | 2,000원 | 등록일 2003.11.25
  • 파워포인트파일 [통신공학]무선랜
    ) 물리계층 관리요소 PLME (Physical-Layer Management Entity) 시스템 관리요소 SME (System Management Entity) SME : 802.11에서는 ... 무선을 연결해주는 bridging 기능 무선매체 RF와 적외선이 있으며, RF 가 보편적 스테이션 ..PAGE:5 802.11 Network 개요 (3/6) Basic Service Set ... 고려를 해야 하는 점을 위한 과정 스캐닝 인증 Connection 전력관리 (기타 참고) 802.11 관리구조 MAC 계층 관리요소 MLME (MAC Layer Management Entity
    리포트 | 32페이지 | 1,500원 | 등록일 2006.04.18
  • 한글파일 [마이크로프로세서] max+2 vhdl로 구현한 디지털 시계
    SYMBOL 및 소스코드 1) 종합 SYMBOL 2) TIME 3) TIME SET 4) STOPWATCH 5) ALARM 6) DATE 7) DATE SET 8) ENCODER 9 ... library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ... 숫자를library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity
    리포트 | 30페이지 | 5,000원 | 등록일 2004.10.05
  • 한글파일 [asic] vhdl을 이용한 시계 설계
    부분인 date 블록을 보면 다음과 같다. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity ... std_logic_vector (4 downto 0) := "11110"; constant MON_31 : std_logic_vector (4 downto 0) := "11111"; begin SET_GEN
    리포트 | 8페이지 | 1,000원 | 등록일 2004.05.03
  • 파일확장자 verilog Hdl을 이용한 8bit full adder 설계
    Project] Current Flow=Multivendor VCS=0 version=1 Current Config=compile [Configurations] compile=fa8 [Settings ... /src/testbench/fa_tb.v\)) (_use (std(standard))(vl(verilog_logic))) (_base (E 5)) (_entity (_time *** ... /src/fa.v\)) (_use (std(standard))(vl(verilog_logic))) (_base (E 2)) (_entity (_time 109031) ) (_primitive
    리포트 | 2,000원 | 등록일 2005.01.13
  • 파워포인트파일 [영어학개론] (삶으로서의 은유) 1장~8장까지 요약 & 발표
    목표 설정 및 행동의 동기 부여(Setting Goals and Motivating Actions) He went to New York to seek fame and fortune ... 존재론적 은유 물리적 현상 및 개념을 하나의 개체로 인식하여 광범위 하고 다양한 존재론적 은유로 표현 INFLATION IS AN ENTITY (인플레이션은 개체이다) Inflation
    리포트 | 29페이지 | 2,000원 | 등록일 2007.10.25
  • 파워포인트파일 UML
    결과, 배치 등에 대한 문서작성을 가능하게 함 시스템 UML(Unified Modeling Language) * UML은 다음 사항의 장점들을 결합하였다 : 데이터 모델링 개념(Entity ... Invoice Order Advertise Send Invoice Create Invoice Place Order Monitor Promotion Reference Promotion Set
    리포트 | 93페이지 | 1,000원 | 등록일 2007.03.29
  • 한글파일 [데이타베이스] 데이타베이스 요약정리
    17, 3.7) 3) Turple Delete Delete From Student S Where S.이름 = Tom' 4) Turple Update Update Student S Set ... Student.Age = Student.Age + 1 Where Student.SN = '12345' ex) Update Student S Set S.Average = S.Average ... : 하나의 entity에게 하나의 관계 집합을 경유하여 다른 entity에 연관 지어 질수 있는 entity의 수 * entity 관계도의 구성요소 {
    리포트 | 9페이지 | 1,000원 | 등록일 2002.11.22
  • 파일확장자 verilog hdl을 이용한 uart 설계
    _architecture 4 0 67 (_process (_monitor) ))) ) ) (_defparam ) (_scope ) (_instantiation UUT 0 41 (_entity ... \Documents and Settings\dnflqkd\바탕 화면\UART.v|] TemplateId=2 ..FILE:UART/UART.adf [Project] Current Flow ... Active_lib= [Settings] FLOW_TYPE=HDL LANGUAGE=VERILOG REFRESH_FLOW=1 SYNTH_TOOL= IMPL_TOOL= FAMILY= FLOWTOOLS
    리포트 | 2,500원 | 등록일 2005.01.13
  • 파워포인트파일 vpn(암호학)
    구성 요소 공개키 인증서(Certificate), 인증기관(Certification Authority), 등록 기관 (RA : Registration Authority) End entity ... abcdefghi abc def ghi Application Data Record Protocol Data 단편화/결합 압 축 데이터 암호화 메시지 인증코드(MAC) 데이터 전 송 TCP 패킷 SET
    리포트 | 42페이지 | 1,000원 | 등록일 2006.11.07
  • 파워포인트파일 [디지탈 시스템]vhdl 디지탈시계
    ㅋ ㅋ ㅋ ..PAGE:2 MODE_GEN library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity ... MODE_GEN is port (CLK : in std_logic; SW1 : in std_logic; SW2 : in std_logic; SET : in std_logic; MODE1
    리포트 | 22페이지 | 1,000원 | 등록일 2002.07.03
  • 한글파일 [asic 프로젝트] asic을 이용한 digital door lock 설계
    library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity ... default values for registered outputs/signals and for variables -- ... else -- Set default values for ... Sreg0_machine: process (CLK) begin if CLK'event and CLK = '1' then if RES = '1' then Sreg0
    리포트 | 8페이지 | 2,500원 | 등록일 2004.08.18
  • 워드파일 DataBase Table 작성 소스 및 출력 Report
    위의 E-R에서 중요한 4~5 개의 개체(entity)를 선정하여 이들을 실제로 데이터베이스에서 테이블로 생성하라. ... Result of SQL statement Set Operation (Union, lease, usercomment, grad from movie, evaluation where exists
    리포트 | 28페이지 | 2,000원 | 등록일 2005.01.07
  • 한글파일 [컴퓨터공학]DB 정규화 - relation
    수정쿼리 UPDATE 사원 SET 이름 = '김영희' WHERE 이름 like '김하늘' ▶ 이름이 ‘김하늘‘ 인 데이터가 ’김영희‘로 수정됨 Ⅴ. ... . - 데이터베이스 설계가 용이하며, 엔티티(Entity)와 관계(Relationship)의 누락을 방지할 수 있다. 4) 정규화의 문제점 - 정규화로 인한 테이블의 개수 증가로 인하여
    리포트 | 23페이지 | 1,000원 | 등록일 2006.07.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 21일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:35 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기