[asic] vhdl을 이용한 시계 설계
- 최초 등록일
- 2004.05.03
- 최종 저작일
- 2004.05
- 8페이지/ 한컴오피스
- 가격 1,000원
목차
없음
본문내용
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity DATE is
port (CLK : in std_logic;
MODE1 : in std_logic_vector (1 downto 0);
MODE2 : in std_logic_vector (1 downto 0);
INCREASE : in std_logic;
HUR_CARRY : in std_logic;
MON : out std_logic_vector (3 downto 0);
DAY : out std_logic_vector (4 downto 0));
end DATE;
architecture A_DATE of DATE is
signal INC_DAY : std_logic;
signal INC_MON : std_logic;
signal MONTH : std_logic_vector (4 downto 0) := "11111";
constant MON_28 : std_logic_vector (4 downto 0) := "11100";
constant MON_30 : std_logic_vector (4 downto 0) := "11110";
constant MON_31 : std_logic_vector (4
참고 자료
없음