• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[asic] vhdl을 이용한 시계 설계

*상*
최초 등록일
2004.05.03
최종 저작일
2004.05
8페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

없음

본문내용

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity DATE is
port (CLK : in std_logic;
MODE1 : in std_logic_vector (1 downto 0);
MODE2 : in std_logic_vector (1 downto 0);
INCREASE : in std_logic;
HUR_CARRY : in std_logic;
MON : out std_logic_vector (3 downto 0);
DAY : out std_logic_vector (4 downto 0));
end DATE;

architecture A_DATE of DATE is
signal INC_DAY : std_logic;
signal INC_MON : std_logic;
signal MONTH : std_logic_vector (4 downto 0) := "11111";
constant MON_28 : std_logic_vector (4 downto 0) := "11100";
constant MON_30 : std_logic_vector (4 downto 0) := "11110";
constant MON_31 : std_logic_vector (4

참고 자료

없음
*상*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 [디지털] VHDL 강좌11 13페이지
    VHDL을 이용한 ASIC 설계 - IDEC VHDL을 이용한 Microprocessor ... Synthesis에 대한 종합적인 이해, 전자 시계, 그리고 각종 VHDL을 ... 설계를 위한 VHDL - IDEC 하드웨어 엔지니어를 위한 VHDL - 그린
  • 한글파일 [디지털] VHDL 강좌12 6페이지
    ASICVHDL 이라는 이상한 주제. 이것을 한 번 생각해 봅시다. ... 각각의 칩 레벨을 설계할 것이다. 이 단계에서는 분업을 이용할 것이다. ... 간단한 예를 들자면 전자 시계를 만든다고 하자.
최근 본 자료더보기
탑툰 이벤트
[asic] vhdl을 이용한 시계 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업