• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(191)
  • 리포트(188)
  • 시험자료(2)
  • 자기소개서(1)

"8bit down counter" 검색결과 1-20 / 191건

  • 워드파일 디시설, 디지털시스템설계 실습과제 8주차 인하대
    binary up down counter를 cascadable하게 구현했다. ... N bit binary up/down counter 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림2 : waveform (eup = 1) 그림3 : waveform ... 이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다. 따라서 파라미터 M, N, W 3개를 입력 받았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험결과 (6) 실습6 4-bit up-down counter의 출력 값을 FND Array에 표시 동작 검사 설계 조건 code pin설정 Up mode Down mode Combo ... 할당된 그 값들이 다시 하위 모듈인 FND array(실습4)의 과정을 반복하여 원하는 값이 segment에 출력되도록 한다. code 실험6) 4-bit up-down counter의 ... 입력으로 clk, 8bit binary 그리고 출력으로 자리 수 변수와 segment에 나타날 값을 변수설정 ▼입력한 8bit binary를 hundreds, tens, ones에
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 논리회로설계실험 10주차 up down counter설계
    이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다. ... 이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다. ... 1) Objective of the Experiment(실험 목적) 이번 실습에서는 3-bit up-down counter를 Moore machine, Mealy machine으로
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    array에 -128 ~ 127 로 표현하는 모듈 설계 조건 *코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다. code (6) 실습6 4-bit up-down counter의 ... 실험 방법 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. upcounter 설계 2. static 7 segment를 모듈화해서 ... 가지는 모듈 설계 조건 code simulation (5) 실습5 8-bit 2’s complement signed binary 입력을 받아서 이를 decimal 로 변환하여 FND
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    실습 6]은 4-bit up-down counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5]에서 작성한 코드를 module ... 제어, 4-bit bcd 입력을 받아서 single FND를 제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈, FND array를 제어, 8-bit 2’s complement ... data에 1을 더해주는 방식이고, mode = 1일 경우 down-counter로 작동해야 하므로 data에 1을 빼주는 방식으로 작동하며, load 버튼(Button SW F)
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Binary = 8’b1000,0000 (6) Results of Lab 6 4-bit up-down counter의 출력 값을 FND Array에 표시하시오. ... 이러한 과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다. 3. Conclusion (결론) 가. ... 기능 수행(binary = 8’b00001100) Up count Up count Down count Down count 2.
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 한글파일 부산대 어드벤처디자인 실험11 A+ 예비보고서(계수기)
    비트열을 모두 사용하기 때문에 계수기 본체의 게이트 규모가 작습니다. 5) 언필드코드 계수기(unfilled code counter) 비트열 편성의 일부만을 사용하는 것입니다. ... 세는 수만큼 플립플롭이 필요하기 때문에 계수기 본체의 회로규모가 가장 커집니다. 4) 필드코드 계수기(filled code counter) 비트열의 편성을 모두 사용하는 것입니다. ... 클럭펄스의 수를 이진수로 표시했을 경우에 최하위 자리수가 되고 두 번째 소자는 두 번째 자리수가 되듯이 이진수로 출력을 나타낼 수 있습니다. 2) 비가중 계수기(nonweighting counter
    리포트 | 5페이지 | 1,500원 | 등록일 2022.04.09
  • 워드파일 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    그림(c)는 3-bit counter를 보여준다. ... 신호를 8-bit로 변환하기 위해 8-bit register을 사용하였고, controlUnit에서 register의 CLR과 enable 신호를 조작하기 위해 FD8CE를 사용하였다 ... 우선 가위 바위 보, 물음표의 패턴을 디자인한 후, 각 column의 정보를 8개의 64bit-ROM에 저장하였다. address는 8-bit 단위로 하나의 패턴을 의미하게 된다.
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 한글파일 서강대학교 마이크로프로세서 9주차 실험
    . ● 타이머의 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다. 3. ... ): Event 발생 때마다 counter를 초기화할 값이 저장된다. ... 우선 row, col, i의 초기값은 각각 1, 0, 0으로 설정되어 있다. line 47에서의 조건에 의해 line 48에서는 GPIOC_ODR 레지스터에 row의 비트를 반전하여
    리포트 | 27페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(mealy,moore,코드포함) 7주차예비레포트
    bit up/down counter with synchronous reset -. ... 응용 과제(총3문항) ● 4-bit up counter를 설계하시오. ... Clock) Up/Down: External DIP Switch 1 (High→Up / Low→Down) Output : LED 1~8 (Modulo 256) Up: 0 → 1 →
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 한글파일 ring,jhonson counter 예비레포트
    /operators.html 4) https://verilogcodes.blogspot.com/2015/10/verilog-code-for-4-bit-johnson-counter.html ... -수 표현 ‘ : 8h’FB : b-2진수, d-10진수, h-16진수, o-8진수 음수는 2‘complement 사용 언더바(_): 가성을 좋게 한다. ... 실험 목적 -fpga를 통해 ring counter, jhonson counter 시뮬레이션 3.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    6] 4-bit up-down counter의 출력값을 FND Array에 표시하시오. - 입력: 1Hz의 Count clock - resetn: 0으로 초기화(비동기) - Up/down ... 위와 같은 표를 만들고 8-bit (unsigned) binary number가 주어진다고 하면 왼쪽으로 한 비트씩 옮기다가 100’s, 10’s, 1’s 각 칸에 5이상의 값이 채워지는 ... Design counter with Piezo - 입력: 1MHz clock, button SW 1~8(도레미파솔라시도) - 출력: piezo, 7-Segment - 동작: 1~8
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    또는, 3.57us가 8x8 dotmatrix의 LED의 응답속도보다 빠르기 때문에, 그림 C-2와 같이 글자가 번지게 되는 현상이 발생하는 것일 수도 있다. 따라서 외부 al ... 초기값으로부터 down-counting해서 0이 되거나, 0으로부터 up-counting해서 초기값에 도달하는지를 Capture/compare 레지스터를 통해 확인한다. ... forced active/inactive: OCxRef가 counter value에 관계없이 특정 event에 대해 hi DIR bit가 0이기에 upcounter로 동작한다.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 워드파일 서울시립대학교 전전설2 6주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    4-bit counter를 설계하시오.. ... CLK 은 1 Hz 입력 출력 mode=1 : up, mode=0 : down load 기능 (동기식) : 입력 4비트 (Bus SW1~4), 로드 버튼 : Button SW1 4- ... bit counter Test bench Simulation 결과 Pin 연결 - 실험결과 (load, enable, mode / data) i) up counter Load : 0
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    [실습 6] 4-bit up-down counter의 출력 값을 FND Array에 표시하시오. ... [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오. Source code Testbench PIN testbench 시뮬레이션 결과 b. ... [실습 5] 8-bit 2’s complement signed binary 입력을 받아서 이를 decimal로 변환하여 FND array에 -128~127로 표현하는 모듈을 디자인
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 시립대 전전설2 [7주차 결과] 레포트
    Discussion (토론) 첫실험에서는 up down의 기능 그리고 en load를 추가하여 4 bit counter를 작성을하였다. ... Summarize experiment contents & purpose of this Lab up down의 기능에 대하여 익혔고 4bit counter를 up down en load를 ... load 기능 : 입력 4비트 : 버스 SW, active-high 로드 버튼 : 버튼 SW 4-bit counter 설계한 코드 설명 clk, rst,up, en의 각각 변수 선언을
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 [A+보고서] 회로실험 카운터 회로 예비보고서
    Up / Down counter (1) 상향 비동기식 카운터 - 4비트 2진 상향 카운터 : 16진(mod-16) 카운터 - 각 플립플롭은 클록펄스의 하강엣지에서 변화한다. - Q ... (단, 입력 CLK의 주기는 100ns) 장점: 기본 ring 계수기에 비해 속도가 빠르다. (8) 8진 비동기식 up 카운터를 D플립플롭을 이용하여 설계하라. (9) down 카운터에 ... 카운터는 입력단에 count up과 count down에 선택적인 신호를 연결해 줌으로써 두 동작을 실행할 수 있다. ?
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • 워드파일 논리회로설계실험 9주차 counter설계
    이때 Single counter와 Up-down counter와 같은 counter들의 modeling 방식을 참고하여 구현할 수 있다. ... (JK flip flop) Ripple counter를 JK flip flop을 이용하여 structural modeling으로 구현한 코드는각 out_bar와 out이 한bit씩 ... edge 2번마다 값이 바뀌고 OUT[2]는 4번, OUT[3]는 8번마다 값이 바뀐다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 시립대 전전설2 [8주차 결과] 레포트
    Discussion (토론) 첫번째 실험은 7주차 실험의 첫번째 실험에서의 응용으로 4-bit counter를 이용하여 FND를 설계하는 것이었다. 4bit counter의 up down의 ... 지난 실험 마지막 과제였던 4-bit counter의 출력 값을 FND와 FND Array에 표시하시오 Parallel Load Data D~A: Bus Switch 1~4 Count ... /m/entry/20100531-%EC%88%9C%EC%B0%A8%EB%85%BC%EB%A6%AC%ED%9A%8C%EB%A1%9C-Part3
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 워드파일 시립대 전전설2 Velilog 예비리포트 7주차
    bit up counter with a synchrounous reset (5) Mealy Machine for the Serial I/O code converter (6) 74LS193A ... bit up counter with a synchrounous reset (1) 로직 설계 및 컴파일 및 코드 분석 (2) 핀 설정 5. ... A,B,C,D : 4비트의 Input값을 넣어주는 부분이다. LOAD : Count시킬 때는 이 입력은 High로 주고 해야 Output이 Load된다.
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업