• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,473)
  • 리포트(4,423)
  • 시험자료(23)
  • 논문(14)
  • 서식(7)
  • 방송통신대(4)
  • 자기소개서(2)

"7-SEG" 검색결과 1-20 / 4,473건

  • 한글파일 1 digit 7진 UP/DOWN COUNTER 및 7-Seg, 표시회로 설계
    설 계 보 고 서 (주제 : 1 digit 7진 UP/DOWN COUNTER 및 7-Seg, 표시회로 설계) ◎ 개요 서론 : 7진 UP/DOWN COUNTER 본론 : 1) 진리표 ... 작성 2) 7진 UP/DOWN COUNTER의 논리식 유도 : (a) JK - flip flop 특성 방정식을 이용한 7진 UP/DOWN COUNTER의 논리식 유도 (b) JK ... - flip flop 여기표를 이용한 7진 UP/DOWN COUNTER의 논리식 유도 3) 논리회로 설계 : 7진 UP/DOWN COUNTER의 논리회로 설계 4) Logic Works를
    리포트 | 5페이지 | 3,000원 | 등록일 2015.08.07 | 수정일 2021.07.11
  • 파일확장자 7-Seg with Counter Verrilog source code & test bench
    리포트 | 1,500원 | 등록일 2015.05.17
  • 한글파일 [전자공학실험] 8051실험 - 타이머인터럽트를 사용한 7-SEG 제어
    ---->FFFF 의 출력을 하는 C 프로그램 소스 #include void short_delay(void) /* 7-SEG 동시 구동을 위한 짧은 시간지연함수*/ { unsigned ... -작성한 C 프로그램 주석 #include void short_delay(void) /* 7-SEG 동시 구동을 위한 짧은 시간지연함수*/ { unsigned int i; for(i ... 0; /* 3번째 7-seg 0으로 리셋 */ segdata[1]++; /* 2번째 7-seg 1증가시킴 */ } if( segdata[1] == 16){ /* 2번째 7-seg
    리포트 | 8페이지 | 1,000원 | 등록일 2003.12.04
  • 한글파일 디지털시스템설계 7-segments 실습보고서
    실험목표 FPGA 7-segments 구동 Design - 7-Segment LED Display 이해 - 4-Digit의 7-Segment LED Display의 Rotate 동작의 ... 맨 첫 줄은, 가장 왼쪽의 7-Segment에 숫자를 출력하는 코드이고, 그 다음 줄은, 두 번째 7-Segment에, 그 다음 줄은, 세 번째 7-Segment에, 마지막 줄은 맨 ... 실험 제목 FPGA 7-segments 구동 Design 2.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • 한글파일 Leading one 카운터 설계 결과보고서
    [코드 3-42]에서는 배열로 선언된 입력에서의 ‘1’의 개수를 카운트하기 위해 for loop문을 사용했다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 수 정렬회로 설계 결과보고서
    디지털시스템 설계 실습 6주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※수 정렬회로 설계 - 슬라이드 스위치에 두 개의 입력(a,b) - switch(ena)가 0일 경우 7 ... 세그먼트 FND에 작은 수를 min 7-세그먼트 FND에 출력한다. parameter는 상수를 심볼로 나타냄으로서 module을 객체화 할 때 원하는 대로 바꿀 수 있게 한다. ... subtype my_logic is std_logic range ‘0’ to ‘z’ ; 답 : 0, 1, z 고찰 정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    : 1.실험 개요 - 실험 목적 7-segments를 통해 7개의 LED로 숫자를 표시할 수 있습니다. ... 한편 한 자리에 해당하는 4비트나 두 자리에 해당하는 8비트를 입력받아 이를 해석하여 적절한 모습으로 표시해 주는 장치도 존재합니다. - 7-segment 동작 원리 7-Segment란 ... 통해 7-세그먼트에 원하는 숫자 결과 값을 출력할 수 있었습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 한글파일 충북대 디지털시스템설계 결과보고서5
    따라 해당하는 segment를 선택하고 숫자를 7-segment에 맞게 변환하는 서브모듈인 bin2seg를 불러와 4개의 7-segment들을 변환시킨다. ... 구현 모듈 7-Segment 모듈을 선언하고 각 입출력 pin을 할당한다. 7-Segment 모듈은 Segment의 출력 과정과 출력되는 값을 정의하는 코드들로 구성된다. ... 그리고 LED에서와 마찬가지로 clock_12MHz를 PLL24X2에 입력시켜 clock_24MHz로 변환시고 서브 모듈인 7-segment 구현 모듈을 불러온다. 7-segment
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 최소한의 IC칩을 사용해 7-segment 구동 실험
    (출력은 되지만 정상적인 숫자가 아니다.) 7-Segment를 최소한의 게이트로 작동시키려면 7-Segment의 진리표를 작성하고 출력이 1이 아닌 0으로 묶고 SOP 식으로 나타내고 ... 분석 및 자료조사3 3. 7-Segment에 대한 진리표 작성8 4. 카르노맵 작성9 5. Quartus를 이용한 회로도 작성13 6. Quartus 시뮬레이션17 7. ... Project를 시작하기에 앞서 7-Segment의 진리표를 통한 카르노맵 작성.
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 파워포인트파일 독성학 (심혈관계/생식발생 )
    - 중추신경계에 대한 평가 - 호흡기계에 대한 평가 - 심혈관계에 대한 평가 : 혈압 , 심박수 및 심전도 검사 : 재분극과 전도이상 검사 = ICH 가이드라인 S7A 동일 , = ... 성 성숙한 동물 사용 (rat : 7~8 주 ) 생식 · 발생단계에 따라 세가지로 분류 ( Segment Ⅰ, Ⅱ, Ⅲ) 생식 · 발생 독성시험 Segment Ⅰ Segment Ⅲ ... Ⅱ SEGSEG Ⅲ New CTA (IND/IMPD) NDS (BLA/NDA/MAA) CTA amendment CTA amendment 02 생식 · 발생 독성시험 비임상 생식발생시험
    리포트 | 19페이지 | 3,500원 | 등록일 2024.01.04
  • 워드파일 건국대학교 마이크로프로세서응용 Lab10 A+
    마이크로프로세서응용(3316) HW10 Lab 10 #10-1 : Board Test Manager : SEG1 #10-1 소스 코드 : ;*********************** ... 1) ; subi ZL, low(-6) sbci @0H, high(-@1) ; sbci ZH, high(-6) .endmacro ;**************************** ... #define CR 0x0D // Carrage Return #define EOS 0x00 // End of String #define BS 0x7F // Back Space #define
    리포트 | 97페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 한글파일 Upper-spine KE STUDY
    T1 FM FG F & E(uni) supine C7-T1 FM(bi) supine Seg both F FM supine Sub occipital M DFM supine LCP V ... V / FM sit Nerve test key M, sensory, reflex, Dermatome R-test T-test(jt play) Seg. ... 기타 C7-T1 facet Sep sup(wed) T1-T4 facet Sep(in F&E) sup(wed) C7-T4 facet Sep prone C7-Tx facet FG(bi
    시험자료 | 2페이지 | 1,500원 | 등록일 2021.11.02
  • 한글파일 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    BCD 입력을 7-segment로 출력하는 디지털 회로 설계 3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현 4. ... oS_COM; //5// output [6:0] oS_ENS; //6// wire [4:0] Sum; //7// wire P9, P8, P7, P6, P5, P4, P3, P2, P1 ... ); //14// BCD_to_7segment second(P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2); //15// SevenSeg_CTRL(nClk
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 한글파일 성인간호학 방광경검사, 경피적 신루 삽입술, 요관 스텐트 삽입술 케이스 간호진단/3간호과정/3
    Neutro 77.1 % 호중구 ▲ : 급성간염질환, 화농증 급성출혈, 중독, 조직성 괴사 등 37~72 % Seg. Eosino 0.8% 0~6% Seg. ... % 헤마토크릿 ▼ : 빈혈 37.9~49.1 % MCV 98.3 fl 83.7~98.5 fl MCH 32.7 pg 27.8~33 pg MCHC 33.3% 32~35.4% PT 14.7 ... 건강과 관련된 정보 1) 일반상태: 체중변화 - 허약감 - 피로감 ? 발열 38.0’C 오한 ? 발한 - 부종 - 기타 2) 수면: 규칙적 ?
    리포트 | 11페이지 | 2,000원 | 등록일 2023.04.11
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    Dynamic 7-segment 컨트롤러 설계 Dynamic-7segment는 제어되는 7-segement의 숫자가 늘어나서 동시에 늘어나는 I/O를 아끼기 위해 사용하는 데이터라인을 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계 앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 ... 기존 7비트에서 1비트를 추가하여 점을 포함한 7-segment 코드를 작성하였다. 7.
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 아동간호학, 아동간호학실습 요로감염CASE, 간호진단+간호과정 2개
    /dl, Hct 27.7%, Seg. neutrophil 25.9, Lymphocyte 61, CRP 2.7, Blood culture(ESBL-producing klebsiella ... -혈액 검사: WBC 15.8.K/μl, RBC 3.5M/μL, Hb 9.3g/dl, Hct 27.7%, Seg. neutrophil 25.9, Lymphocyte 61, CRP 2.7 ... 엄마 품에 안겨 있는 모습 관찰됨 - fever(38.2) -혈액 검사: WBC 15.8.K/μl, RBC 3.5M/μL, Hb 9.3g/dl, Hct 27.7%, Seg. neutrophil
    리포트 | 7페이지 | 1,500원 | 등록일 2023.02.17
  • 한글파일 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    이와 같이 묶어서 사용하면, 7-SEG를 구동할 때 필요한 Pin 개수를 줄일 수 있다. 1개의 Segment에 하나의 숫자를 제어하기 위해서 8개의 신호가 필요하다. ... 1.Title Nano 33 BLE 종합실습 2.Name 3.Abstract 아두이노 Nano 33 BLE 보드를 이용하여 이전까지 수행했던 과제를 활용한 프로그램을 구현한다. 7- ... 따라서, 4자리 숫자는 32개의 신호가 필요하다, Arduino Nano 33 BLE의 GPIO를 직접 7-Segment에 연결한다면 핀의 부족으로 사용할 수 없기에 다른 방법이 필요하다
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 한글파일 A+ 재생불량성 빈혈 간호과정(CASE) 간호진단 3개 평가까지(감염위험성, 신체 손상 위험성, 불안) 포함! 할인자료
    0.2 % 0~2 -LUC 3.9 % 0~4 -Seg. ... ) 0.02 % 0.12~0.36 Differential count -Seg. ... PDW(Platelet Distribution W 36.4 % 25~65 -MPV(Mean platelet Volume) 7.7 fl 7.2~11.1 -PCT(Platelatcrit
    리포트 | 9페이지 | 2,500원 (5%↓) 2375원 | 등록일 2024.04.07
  • 한글파일 담낭암, 담관암 항암 간호진단 A+보장 난다 간호진단 통증, 감염위험성
    (달성) WBC RBC PLT Seg ANC 10/13 2.6▼ 2.65▼ 76▼ 63.0 1638 10/14 1.7▼ 2.61▼ 79▼ 55.7 946 4. ... 너무 추워요” 객관적 자료 -진단명: klatskin tumor -10/4 CTx 후 4일 경과함 -10/6 lab data WBC RBC PLT Seg ANC 3.2▼ 2.88▼ ... WBC RBC PLT Seg ANC 10/8 0.8▼ 2.57▼ 64▼ 82.6 660 10/13 2.6▼ 2.65▼ 76▼ 63.0 1638 10/14 1.7▼ 2.61▼ 79▼
    리포트 | 5페이지 | 2,500원 | 등록일 2024.03.20
  • 워드파일 성인간호학실습 통증, 고체온, 불면증, 피부손상 위험성 케이스 간호과정
    전환요법을 교육하여 이를 시행하도록 격려하였다. 7-1. 전환요법에는 텔레비전 보기, 라디오 듣기, 음악 듣기 등이 있다. ... 평가 대상자는 12월 7일 NRS 점수가 0점으로 감소하였고, 그 이후 0점을 계속 유지하였다. - 2020.12.11. 달성됨. RN 2. ... 혈액학(12/1) 검사항목 결과 WBC 10.3 (H) Seg.
    리포트 | 12페이지 | 2,500원 | 등록일 2022.04.27 | 수정일 2022.04.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업