• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

충북대 디지털시스템설계 결과보고서5

bsw1205
개인인증판매자스토어
최초 등록일
2022.02.12
최종 저작일
2020.11
8페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

"충북대 디지털시스템설계 결과보고서5"에 대한 내용입니다.

목차

1. 실험 제목

2. 실험목표

3. 실험 내용
(1) LED Controller
(2) FND Timer

4. 결과
(1) LED Controller
(2) FND Timer

5. 비고 및 고찰

본문내용

1. 실험 제목
LED Controller Design
FPGA 7-Segment 구동 Design

2. 실험목표
(1) LED Controller를 verilog로 설계하고 FPGA 보드로 결과를 확인한다.
(2) FND Timer를 verilog로 설계하고 FPGA 보드로 결과를 확인한다.

<중 략>

input은 외부에서 FPGA에 기본으로 입력되는 Clock인 clock_12MHz, RESET, Mode를 선택할 수 있는 Mode_Switch, LED 제어에 필요한 KEY를 설정하고 output은 LED를 설정한다. LED 제어 모듈을 구동시키기 위한 Clock은 24MHz이므로 clock_12MHz를 PLL24X2라는 IP에 입력시켜 clock_24MHz로 변환시킨다. 그리고 서브 모듈인 LED 제어 모듈을 불러온다.

<중 략>

input, output은 Top module의 것과 동일하다. Top module에서 입력받은 24MHz Clock으로 0부터 24M를 세는 1 sec counter를 설계하고 이를 이용하여 1 sec마다 LED를 제어한다. 0일 때 LED가 켜지고, 1일 때 꺼지므로 case문을 통해 1 sec마다 8개의 LED가 1개씩 순서대로 켜지도록 하였다. 마지막 assign문으로 LED의 출력을 결정하는데 Mode_Switch가 0이면 위에서 설정한대로 동작하고 1이면 KEY [8:0] 입력을 통해 결정된다.

참고 자료

없음
bsw1205
판매자 유형Diamond개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
충북대 디지털시스템설계 결과보고서5
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업