• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(396)
  • 리포트(362)
  • 시험자료(30)
  • 방송통신대(4)

"4bit Shift Register" 검색결과 1-20 / 396건

  • 한글파일 4-bit Right Shift Register
    과 목 : 과 제 명 : 담당교수 : 학 과 : 학 년 : 이 름 : 학 번 : 제 출 일 : 2010. 4. 15. 목 4-bit Right Shift Register 1. ... Sources & Results 1) VHDL Source (rsr.vhd) -- 4-bit Right Shift Register -- library library IEEE; use ... 그리고 C(0)의 값은 SO(출력값)에 저장된다. 2) Testbench Source (rsrTB.vhd) -- 4-bit Right Shift Register Test Bench
    리포트 | 9페이지 | 1,500원 | 등록일 2011.06.06
  • 워드파일 실험2 제08주 Lab06 Pre 4 Bit Shift Register
    이어서 Lab 2에서 만든 4-bit Shift Register Included Enable의 기본 동작은 4-bit Shift Register와 같다. ... Predata of this Lab 1) Lab 1 of 4-bit Shift Register 2) Lab 2 of 4-bit Shift Register Included One-Shot ... Predata of this Labs 1) Lab 1 of 4-bit Shift Register < 4-bit Shift Register Verilog Code >< Testbench
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 워드파일 실험2 제08주 Lab06 Post 4 Bit Shift Register
    Result of this Labs 1) Measured data and description of Lab 1 (4-bit Shift Register) < 4-bit Shift Register를 ... bit Shift Register Included One Shot Enable) < 4-bit Shift Register를 Logic circuit에 따라 Behavioral Modeling으로 ... Shift Register Included Enable < Wave Form > < Diagram > 기본적으로 4-bit Shift Register와 같은 동작을 하는 회로이지만
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 파일확장자 [Flowrian] 4 Bit Shift Register (TTL 7495)의 Verilog 설계 및 시뮬레이션 검증
    CP2의 하강에지에 동기되어 외부에서 제공되는 4비트 데이터를 입력 받아 레지스터에 로드하는 동작이다.* 입력 S='0' 이면 클럭 CP1의 하강에지에 동기되어 레지스터에 저장된 ... - TTL 7495 회로는 4 비트의 데이터를 입력받아 한쪽 방향으로 시프트 시키는 레지스터 이다.- TTL 7495 회로는 아래 기능표와 같이 동작한다.* 입력 S='1' 이면 클럭 ... 4비트 데이터를 출력 Q0 -> Q1 -> Q2 -> Q3 방향으로 시프트 시킨다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.28
  • 한글파일 FPGA 디지털 시스템 설계 : 4bit Shift Register 설계 및 Gated D Latch, D F/F, Reset D F/F, JK F/F 분석
    Shift Register 모듈 설계 4bit Shift Register는 4개의 D F/F를 직렬로 배치하여 같은 클럭에 따라 신호를 옮기는 역할을 한다. ... 4bit Shift Register 설계 및 Gated D Latch, D F/F, Reset D F/F, JK F/F 분석 1. ... shift Register 파형 분석 q1, q2, q3은 모듈 내부에만 존재하기 때문에 Testbench에서 생성한 4bit Shift Register인 sr4의 q1, q2,
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • 한글파일 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    Serial-in Parallel-out Shift Register 74164 역시 기본 실험 (1)의 4-bit Serial-in Parallel-out 시프트 레지스터처럼 클럭이 ... 궜榴 버림으로써 우측 시프트가 된다. (2) 8-bit Serial-in Parallel-out Shift Register 74164를 이용하여 8-bit 시프트 레지스터를 구현하고 ... 기본실험(2)에서 사용했던 8-bit Serial-in Parallel-out Shift Register 74164에 마지막 출력값인 ?
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 한글파일 아주대 논리회로실험 실험7 Shift Register 예비보고서
    실험 이론 1) Shift Register - Shift Register는 소자에 저장된 데이터를 왼쪽 또는 오른쪽으로 각 클럭의 틱마다 한 비트씩 이동시킬 수 있는 n-bit Register이다 ... Shift Register의 구조는 연결된 Flip-Flop의 구조로 n-bitRegister의 경우 n개의 Filp-Flop이 필요하다. ... Shift Register는 크게 직렬입력-직렬출력, 직렬입력-병렬출력, 병렬입력-직렬출력의 형 태를 가지게 되는데.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서8
    H L L 3 L L L H H L 4 L L L L H H 5 L L L L L H 6 L L L L L L 실험 2. 5bit Shift Right Register 실험 과정: ... Right Register 실험 과정: 준비한 결선도를 참고하여 6bit Shift Right Register를 74HC00과 74HC76을 이용하여 회로를 구성한다. ... 직렬 입력 병력 출력 / 병렬 입력 직렬 출력 예시 4bit 직렬 입력 병력 출력 시프트 레지스터 4bit 병렬 입력 직렬 출력 시프트 레지스터 데이터는 위의 직렬입력 직렬출력 (SISO
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 예비보고서(3)-Shift_Register-시프트레지스터
    실험제목 : Shift Register - 예비보고서 1. ... 이 레지스터는 4개의 데이터 입력선 D _{0}D _{1}D _{2}D _{3}과 4-비트 데이터를 병렬로 레지스터에 적재하기 위한 SHIFT/ bar{LOAD} 입력을 가지고 있다 ... 레지스터의 시프트 능력에 의해 클럭 펄스가 인가됨에 따라 레지스터 내의 데이터는 임의 단에서 다음 단으로 이동하거나, 레지스터의 내부나 외부로 이동하게 된다. (1) Shift Register
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    Linear Feedback Shift Register 선형 피드백 시프트 레지스터의 실험 과정은 앞의 4비트 SIPO 실험 과정과 크게 다르지 않으므로, 앞의 과정에서 소스코드(. ... Linear Feedback Shift Register (21) 3. ... Linear Feedback Shift Register (06) Ⅱ. 본론 (06) 1. 실험 장비 (06) 2.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 워드파일 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    Shift register를 이용한 multiplier 설계 이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... 디지털논리회로실험 8주차 실험 보고서 목적 -Shift registers의 구조와 동작원리를 이해한다. ... Shift registers shift register는 flip-flop 여러 개를 합쳐 놓은 소자로, clock의 한 주기가 지날때마다 연결되어있는 register의 data가
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 파일확장자 고려대학교 디지털시스템실험 A+ 6주차 결과보고서
    후에 counter나 register에 reset 기능을 넣은 코드 를 통해 FPGA를 구현할 수 있으면 좋겠다는 생각을 하게 되었다. ... 본 실험을 통하여 gate level modeling을 이용한 latch와 flip flop의 설계 및 behavioral modeling을 이용한 counter와 shift register
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • 워드파일 논리회로설계실험 8주차 register 설계
    ) 4.1) 8-bit Register 8-bit register의 출력 wave는 위와 같이 나왔다. ... 작동함을 알 수 있다. 4.2) 8-bit shift Register 8-bit shift register의 출력 wave는 위와 같이 나왔다. ... 이론상 SHIFT_IN에 의해 OUT[7:0] = 8’b10101100까지 출력되었다가 RST = 1’b1에 의해 8’b00000000으로 출력될 것이다 4) Result(실행 결과
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 [논리회로실험] 실험7. Shift Register 결과보고서
    고찰 이번 실험에서는 Shift Right Register와 순환 레지스터를 직접 구현해보고 결과를 통해 truth table을 작성하고 시프트 레지스터의 특성을 알아보았다. ... 예상결과 Truth table과 일치한다. 2) 실험 2 : 5bit Shift Right Register - 5bit shift right register를 구성한다. - 9번과 ... 실험 과정 및 실험 결과 1) 실험 1 : 6bit Shift Right Register - 6bit shift right register를 JK 플립플롭을 이용해 구성한다. - CLR을
    리포트 | 5페이지 | 1,000원 | 등록일 2023.05.27
  • 한글파일 [논리회로실험] 실험7. Shift Register 예비보고서
    실험이론 1) Shift Register와 n비트 레지스터 - 시프트 레지스터는 일련의 연결된 플립플롭으로써 잠정적 데이터 저장 능력을 갖추도록 하여 클럭 펄스가 들어올 때마다 저장된 ... 0 0 0 0 1 1 5 0 0 0 0 0 1 2) 실험 2 : 5bit Shift Right Register - 5bit shift right register를 구성한다. - 9번과 ... 실험과정 및 예상 결과 1) 실험 1 : 6bit Shift Right Register - 6bit shift right register를 JK 플립플롭을 이용해 구성한다. - CLR을
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 파일확장자 디지털시스템설계실습_HW_WEEK8
    .• Discussion이번 과제는 16x8bit Register file, Shift Register, Shift Register with Parallel Load를 구현해보는 시간이었다 ... .16x8bit Register file 은 4bit의 address, 8bit의 data크기, 16개의 저장공간을 갖고 있는 구조였고, 이는 wr_enable이 활성화 되었을 때,
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 한글파일 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    Parallel Access 7Bit Shift Registers): 4비트의 병렬입력 - 병렬출력 기능과 직렬 시프트 기능을 포함한 레지스터 1) CLR 단자를 논리 1로 함 2) ... 병렬출력 74164(8Bit Parallel Output Serial Shift Registers) 1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터 2) CLR ... 74164(8Bit Parallel Output Serial Shift Registers) 1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터 2) CLR = 0이면
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 한글파일 [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    Shift Registers)은 4비트의 병렬입력 - 병렬출력 기능과 직렬 시프트 기능을 포함한 레지스터이다. 4비트의 병렬입력-병렬출력과 직렬 시프트 기능을 포함한 레지스터이다. ... . 74165(Parallel Load 8Bit Shift Registers)은 8개의 S R 플립플롭으로 구성된 병렬입력 직렬출력 레지스터이다. ... 3개의 레벨 중 하나를 가질 수 있다라는 것을 뜻한다. 74164(8Bit Parallel Output Serial Shift Registers)는 8개의 S R 플립플롭으로 구성된
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 파일확장자 서강대학교 디지털논리회로실험 8주차 결과보고서
    Shift register에는 4가지 구조가 존재한다.① Serial-in, serial-out이 구성 bit수만큼 데이터를 지연시키는 역할을 한다.② Serial-in, parallel-out저장되는 ... 내용(data)이 한 bit씩 이동하게 만드는 register이다. ... 실험목적1) Shift RegistersShift registers의 구조와 동작원리를 이해한다.Shift register를 활용하여 multiplier를 구성한다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.10.02
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서8
    D L L 4 L D하였을 시에는 4일 때 처음과 마지막 의 LED가 켜지게 되고 Shifting은 끝나지 않고 계속 하게 된다. 2) 고찰 - Shift Register에 대해 ... 이 때 나는 결과보고서에 4-bit adder의 오버플로우를 방지하기 위해 4bit를 두 개 연결하면 8bit가 되므로 8bit까지 처리가 가능하다고 쓴 적이 있다. ... 하지만 어떻게 4bit4bit를 이을 수 있는지는 설명하지 못했다. 아마 MSB의 연산에 Latch를 이용하면 될 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 16일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:50 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기