• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,666)
  • 리포트(8,438)
  • 서식(421)
  • 시험자료(266)
  • 자기소개서(184)
  • 방송통신대(163)
  • 논문(124)
  • ppt테마(42)
  • 이력서(26)
  • 노하우(2)

"3CODE" 검색결과 1-20 / 9,666건

  • 파일확장자 LS-DYNA3D 및 ABAQUS/Explicit Code를 이용한 사용후 핵연료 운반용기의 자유낙하 충격특성연구
    본 논문에서, 유한요소해석은 LS-DYNA3D와 ABAQUS/Explicit을 이용하여 운반용기의 9m 자유낙하충격실험에 대한 해석기술과 여러가지 손상을 갖는 경우를 발견하기 위해 ... carried out for the 9 meters free drop condition of the hypothetical accident conditions using LS-DYNA3D
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 [레포트] 창의융합인재되기 3CODE전략 (A+)
    REPORT 과 목 명 : 창의융합인재되기 3CODE전략 담당교수 : 학 과 : 학 년 : 학 번 : 이 름 : 제출일자 : 2020년 3월 7일 5주차 - 어떤 곳이 창의적 환경인지를 ... 예를 들면, 수업 시간이 끝나고 쉬는 시간 중 3 ~ 4분 정도 투자하여 필기한 내용을 바로바로 훑어보는 것이다.
    리포트 | 3페이지 | 7,900원 | 등록일 2020.03.07 | 수정일 2020.03.08
  • 파일확장자 제대로 번역한 Weaving It Together 3 UNIT 7-2 Crops, Codes, and Controversy
    1. Over the past 20 years, scientists have been using technology on nature to improve food supplies. They are producing genetically modified (GM) food..
    리포트 | 4페이지 | 3,000원 | 등록일 2019.05.02 | 수정일 2020.11.12
  • 한글파일 Cortex M3의 Code, 접미사, CPSR의 플래그, 의미를 논하시오. 마이크로프로세서1 과제점수 15점 만점을 받은 자료입니다.
    과목명 마이크로프로세서1 과제주제 Cortex M3의 Code, 접미사, CPSR의 플래그, 의미를 논하시오. ... 본문에서 Cortex-M3의 Code, 접미사, CPSR 플래그, 의미를 자세히 알아보고자 한다. 본론 1. ... Cortex-M3의 Code, 접미사, CPSR 플래그, 의미 Cortex-M3는 주로 어셈블리 언어로 작성된 프로그램을 실행하기 위해 명령어 집합의 코드와 suffix, CPSR
    리포트 | 3페이지 | 2,000원 | 등록일 2024.01.25
  • 한글파일 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    전자계산기 구조 과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정 1. ... BCD코드 정의 이진화 십진법BCD(Binary-Coded-Decimal code)은 이진수 네자리를 묶어 십진수 한자리로 사용하는 기수법이다. ... BCD(Binary-Coded-Decimal code) 구현 방법 BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다. 1010, 1011, 1100, 1101, 1110과
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 파일확장자 3개국간 수학 점자의 비교-분석을 통한 체계 연구 : 한국, 일본, 미국(Nemeth Code)을 중심으로 (한국, 일본, 미국(Nemeth Code)을 중심으로)
    본 연구에서는 한국, 일본, 미국(Nemeth Code)의 3개국의 수학 점자와 그 운영 방식을 밝히고 체계를 비교분석하였다. ... 한국과 일본의 수학 점자 중 2/3는 동일하였으나 미국의 Nemeth Code는 동일한 기호가 전혀 없었다. 3개국 모두 수식의 구성 요소와 기호 제정에 있어 묵자의 형태를 연상하기 ... However, there were not the same things in the Nemeth Code.
    논문 | 19페이지 | 4,800원 | 등록일 2015.03.24 | 수정일 2017.02.01
  • 한글파일 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    ---디지털 회로 리포트--- (1) Gray Code 그레이 코드는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change ... 결론적으로, 보수형의 언급 없이 보수라는 단어는 비산술적 응용에 쓰일 때 1의 보수로 가정한다. (4) BCD Code BCD(binary coded decimal) 코드는 10진 ... 계산 결과를 carry가 생기지 않으면 그 결과에서 3(10진수 3)을 뺀다. 3.
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • 워드파일 BCD to Excess-3 Code Conveter
    BCD to Excess-3 Code Conveter Introduction VHDL code를 이해하고 BCD to Excess3 code converter logic을 VHDL ... 네번째 스위치(clk)를 올려야 그떄서야 excess에 값이 반영되는 것을 알 수 있다. < Assignment 2 CODE> entity SM1_2 is Port ( X, CLK ... 0); BEGIN uut: bcd2excess3 PORT MAP( bcd => bcd, excess3 => excess3 ); tb : PROCESS BEGIN wait for 100
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • 한글파일 BCD to EX3 Code Converter
    BCD to EX3 Code Converter 1. Specification 10진 디지털에 대한 Excess 3 code는 10진 디지트에 플러스 3을 해주는 코드이다. ... 이러한 BCD to EX-3 Code Converter를 2 input NAND Gate, 3 input NAND Gate, 2 input NOR Gate, Inverter 를 사용하여 ... Result4 of NOT1 is begin out1
    리포트 | 8페이지 | 5,000원 | 등록일 2009.05.27
  • 워드파일 BCD to EXCESS-3 CODE CONVERTER
    이 딜레이는 그전의 출력값과 현재 실시된 출력값이 겹치는 것을 막아주는 역할을 한다. ▶ Excution Results on Spartan-3 BCD CODE EXCESS3 CODE ... PICTURE EXCESS3 CODE 0000 0011 0001 0100 0010 0111 0011 0110 0100 0111 0101 1000 0110 1001 0111 1010 ... Download하는 방식 Master Serial Mode AT17010에 프로그램을 넣은 후에 Spartan에 Download하는 방식 Assignment 1 ▶ Program Code
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • 한글파일 기초전기실험 결과보고서,DC #2. Resistors and the Color Code, #3. Ohm’s Law, #4. Series Resistance
    Resistors and the Color Code 1. 실험 결과 Part 2. ... Color Code Table 2.1 Resistor (Nominal Value) Color Bands - Color Color Bands ? ... ㏀ 3.3k OMEGA TIMES 0.95=3.135㏀ 3.3k OMEGA TIMES 1.05=3.465㏀ 10㏀ 10k OMEGA TIMES 0.95=9.5㏀ 10k OMEGA TIMES
    리포트 | 16페이지 | 2,000원 | 등록일 2015.12.23 | 수정일 2016.06.02
  • 워드파일 [디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB - (7,3) Linear Block Code Encoding and Decoding - 제출일 전공 학번 이름 1. ... 위의 코드는 로부터 를 구한 후 (r=code rate, 이 경우 Coded, Uncoded message의 Data rate가 같은 경우이다.)를 계산하여 에 해당하는 Noise ... Consider a (7,3) code whose generator matrix is G = (a) the code rate (7,3)=(n,k)이므로 n=7, k=3이 된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2009.01.03
  • 워드파일 VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter (1) BCD to Excess-3 Code 변환기란? 10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 전자전기컴퓨터설계실험III PRELAB REPORT [BCD to Excess-3 Code Converter] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK ... Coding하면서 Synthesizer가 제공하는 사용주파수, Ramp-Del오는 것이 아님을 잊지 말아야 한다. 나.
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 [워드2003][디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB - (7,3) Linear Block Code Encoding and Decoding - 제출일 전공 학번 이름 1. ... 위의 코드는 QUOTE 로부터 QUOTE 를 구한 후 QUOTE (r=code rate, 이 경우 Coded, Uncoded message의 Data rate가 같은 경우이다.)를 ... Consider a (7,3) code whose generator matrix is G = QUOTE (a) the code rate (7,3)=(n,k)이므로 n=7, k=3이
    리포트 | 7페이지 | 2,000원 | 등록일 2009.03.01
  • 워드파일 VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다. 2) Excess-3 Serial Code Converter Behavioral ... " 3) Excess-3 Serial Code Converter Dataflow Source Results HYPERLINK \l "discussion" 3. ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 [영어해석]교재: Revolish TOEFL IBT Prep Level3(교학사) 유닛4. Code and Cipher
    Codes originally had the code groups in the same order as their plaintext. ... 선택지의 3개는 사용되지 않는다. 1"Two-part" codes use one codebook for encoding and another for decoding, making them ... Coded message are often enciphered to augment their security, in which case they are "superenciphered
    리포트 | 6페이지 | 1,000원 | 등록일 2007.07.01
  • 워드파일 중앙대학교 디지털시스템설계 미니프로젝트
    미니프로젝트1,2 미니프로젝트1 – SIMD1 IP (RTL설계), API (Application) C코드, waveform 1.RTL Code 2.C Application 3.Waveform ... 3.Waveform (RTL Simulation) ... (RTL Simulation) 미니프로젝트2 – SIMD2 IP (RTL설계), API (Application) C코드, waveform 1.RTL Code 2.C Application
    리포트 | 7페이지 | 2,000원 | 등록일 2023.06.26
  • 파워포인트파일 삼성 전자(화상면접자료)
    (DEC) codes d = 7, 8  t = 3 : triple error correcting (TEC) codes Coding sphere t s t d ci cj * Decoding ... Coding – Basic concepts * Encoding Block codes Encoding of an [n , k] block code k bits k bits k bits ... n bits n bits n bits message or information codeword Redundancy: n – k Code rate: k / n Message m (m1
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 한글파일 Form Based Code_지역지구제에 대한 비판적 논의의 대안
    그림 3 Seaside에서 적용한 건축물 타이폴로지에 대한 매뉴얼 그림 3의 경우 Seaside에서 적용된 FBC(Form based codes)이다. ... 개발 결과의 품질은 코드가 구현하는 커뮤니티 계획의 품질과 목표에 따라 달라진다. 3. ... Form Based Code _ 지역지구제에 대한 비판적 논의의 대안 1.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.16
  • 워드파일 fpga bcdconverter
    Add3module에서의 진리표 - 작성한 Code가b(8비트), 출력을 p(10비트), hunds(2비트),tens(4비트),units(4비트)로 설정하였고 3가지 case에 대해서 ... Discussion . - Verilog Coding을 시작하기 전 작성한 Block Diagram - 작성한 Verilog Module에 대한 설명 이 모듈은 8to3인코더로 8bit입력을 ... Homework2. binary to bcd converter Verilog Code / 주석 converter모듈 Add_3module RTL Map Addmodule을 7번 사용하였다
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업