• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,457)
  • 리포트(8,598)
  • 자기소개서(384)
  • 시험자료(260)
  • 방송통신대(114)
  • 논문(41)
  • 서식(39)
  • 이력서(9)
  • 기업보고서(5)
  • ppt테마(4)
  • 노하우(3)

바로가기

카운터 독후감 - 카운터 관련 독후감 2건 제공

"카운터" 검색결과 1-20 / 9,457건

  • 카운터 밸런스 밸브를 내장한 유압 모터 브레이크 시스템의 동특성
    한국수산해양기술학회(구 한국어업기술학회) 윤소남, 이일영
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 디지털 회로 응용 - 카운터카운터응용
    리포트 | 8페이지 | 2,000원 | 등록일 2022.12.05
  • 카운터 페이터 후기
    위조된 지폐 그들의 국가도 위조된 것인가-영화 ‘카운터페이터’-인류 역사상 가장 많은 피해를 남긴 전쟁으로 기록된 제2차 세계대전은 포탄과 총알 뿐 아니라 조개껍데기까지 무기로 쓰였다 ... 이 계획을 배경으로 한 영화가 바로 ‘스테판 루조비츠키’ 감독의 ‘카운터페이터’이다.영화의 주 내용이자 실제 사건인 베른하르트 작전은 1939년 독일 재무성이 영국과의 전쟁에서 승리하기
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.17
  • 카운터스 독후감
    카운터스 독후감빈 카운터스가 그냥 이름만 보고 뭔지 감이 안 왔는데 회사 내에서 리스크 테이킹을 못 하게 하는 부서, 그런 사람들을 일컫는 말이었다.
    리포트 | 1페이지 | 1,000원 | 등록일 2024.02.07
  • 카운터스(주)
    기업보고서
    • 카운터스(주) (보고서 8건)
    • 대표자명 오세윤 사업자번호 715-87-***** 설립일 -
      기업규모 중소기업 업종분류 응용소프트웨어 개발 및 공급업
      제공처 KEDkorea KISreport NICEdnb
  • 이지카운터시스템
    기업보고서
  • 비동기 카운터, 동기 카운터 설계 결과레포트
    비동기 카운터, 동기 카운터 설계결과레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 고찰1) 비동기 카운터이번 실험에서는 J-K 플립-플롭 4개를 이용하여 4진 비동기 카운터 회로를 구성하였다. ... 실험 결과1) 비동기 카운터(1) QA vs QB(2) QA vs QC(3) QA vs QD- 결과를 바탕으로 완성된 그래프2) 동기 카운터 설계(1) QA vs QB(2) QA vs
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 비동기 카운터, 동기 카운터 설계 예비레포트
    비동기 카운터, 동기 카운터 설계예비레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 실험 목적1) 비동기 카운터- 비동기 업-카운터와 다운-카운터의 설계 및 분석- 카운터의 모듈러스(modulus) 변환- IC 카운터 사용과 카운트 시퀀스 절단(truncation) ... 2) 동기 카운터 설계- 임의 시퀀스의 16-상태 동기 카운터 설계- 카운터의 구성 및 검사 그리고 카운터의 상태 다이어그램 작성3.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 11진 카운터 설계
    1. Schematic - Schematic은 다음과 같습니다.2. Simulation 결과 (Timing diagram) - Timing diagram을 통해 입력이 1로 설정되었을 때 출력이 0( (0000)2 )부터 10( (1010)2 )까지로 나오는 것을 확인..
    리포트 | 2페이지 | 3,000원 | 등록일 2022.09.11
  • 디지털회로실험 시프트 레지스터, 링카운터, 존슨카운터
    시프트 카운터의 구조와 동작특성을 이해한다.② 링 카운터와 존슨 카운터의 동작특성을 이해하고 사용법을 익힌다.2. ... (Ring counter)링카운터는 일반적으로 이용되는 시프트 레지스터 카운터 중 하나로, 마지막 플립플롭의 값이 처음 플립플롭으로 shift 되도록 연결된 순환 shift 레지스터이다.링카운터가 ... (Johnson counter)존슨 카운터는 링 카운터와 유사하지만, 마지막 플립플롭의 보수 출력이 처음 플립플롭의 입력으로 연결된다는 차이점이 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 업다운 카운터 verilog 설계
    제목동기식 BCD 카운터 설계실습 목적동기식 카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상샐활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 7장 카운터카운터응용 연습문제 풀이
    리포트 | 9페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    발생할 가능성이 낮아진다.동기식 카운터는 비동기식 카운터처럼 지연시간이 누적된다는 문제를 일으키지 않고 클럭 신호를 증가시킬 수 있다.그러나 동기식 카운터는 공통 클럭 신호와 동기식 ... 실험 목적실험1,2)- 비동기식 카운터(Asynchronous Counter)의 동작원리를 이해하고 구성한다.실험3)- 동기식 카운터(Synchronous)의 동작원리를 이해하고 Presettable ... 회로와 7-segment 표시비동기 카운터는 각각의 플립플롭의 출력이 다음 플립플롭의 클럽 입력신호가 되는 카운터를 의미한다.이렇게 부르는 이유는 첫 번째 플립플롭만이 Clock
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    카운터에는 비동기 카운터, 동기식 카운터, 프리세트 카운터, 등이 있다.비동기 카운터는 직렬 카운터이며 플리플롭을 다수 종속으로 연결하는 구조로 되어 있고, 플리플롭의 출력 전이가 ... 비동기 카운터는 상향 카운터(Up Counter)와 하향 카운터(Down Counter)가 있는데 상향 카운터는 각 플리플롭이 클록펄스의 하강 에지에서 변화하고 Q A 에서는 입력 ... 디지털 시계에서 쓰이는 카운터는 enable 제어 신호를 가지고 있는 카운터를 설계해야 한다. enable 제어 신호를 가져오는 이유는 모든 카운터가 하나의 클럭펄스에 동기되어 있어
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 동기 카운터 설계 결과레포트
    기초회로실험2 결과레포트실험제목동기 카운터 설계학 과학 번성 명실험 조지도교수1. ... 결과표동기 카운터 설계 회로사진→ 와 클락 파형 비교→ 와 클락 파형 비교→ 와 클락 파형 비교2. ... 고찰동기카운터를 설계해서 위에 그림과 같이 나오게 회로를 구상하고 클락과 파형을 비교해 보았다. 오실로스코프 프로브가 불안정해서 파형이 찌그러지게 나왔다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.12.19
  • 논리회로실험 카운터 설계
    그러므로 링카운터는 계단식 스위치와 유사하다고 말할 수 있다.- 오른쪽의 그림은 링카운터의 논리회로도이다.(5) 존슨 카운터- 존슨 카운터는 시프트 카운터라고도 말하며 이것은 특별한 ... 실험 내용- 실험 1. 8비트 비동기식 업카운터와 8비트 동기식 다운카운터를 설계하시오.(1) 8비트 비동기식 업카운터와 8비트 동기식 다운카운터1) 소스코드8비트 비동기식 업카운터8비트 ... 비동기식 카운터와 업 카운터로도 구분할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • FPGA 카운터 & 상태머신
    파형에 대한 토의리셋일 ‘1’인 상태이면 s0이 되고 출력이 “000”이 되며 클락이 상승에지일 때 입력x가 ‘1’이면 s1이 되고 출력값은 “001”이 되며 s1인 상태에서 입력x가 0이 되면..파형에 대한 토의리셋값이 0일 때 s0이 되고 입력값에 따라서 이 전의 ..
    리포트 | 9페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • (주)카운터컬쳐컴퍼니
    기업보고서
  • [예비보고서] 11.카운터 설계
    카운터 설계11-3. ... 이러한 8진 동기 카운터를 바탕으로이하와 같은 16진 동기 카운터를 설계하였다. ... 구체적인 회로도는 다음과 같다.11-3-4 16진 동기 카운터 회로도그림 11-1의 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 디지털 회로 실험-시프트 카운터
    시프트 카운터1. 목적-링 카운터의 동작원리와 특성을 익힌다.-존슨 카운터의 동작원리와 특성을 익힌다.2. ... 존슨 카운터의 동작원리와 특성을 이해하고 링 카운터와 존슨 카운터의 회로를 실제로 만들어 동작해보는 실험이었다. ... 관계 이론 요약링 카운터 구조- FF을 직력로 연결한 후, 최종 단 출력을 첫 단에 연결 (순환형 구조)- N 개의 FF 사용시 Mod-N 카운터 구성 가능링 카운터 타이밍- 임의의
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 27일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:44 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기