• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,161)
  • 리포트(1,769)
  • 자기소개서(295)
  • 시험자료(68)
  • 논문(12)
  • 방송통신대(12)
  • 이력서(3)
  • 서식(2)

"동기 카운터" 검색결과 161-180 / 2,161건

  • 파일확장자 홍익대학교 디지털논리실험및설계 10주차 예비보고서 A+
    동기카운터동기카운터의 경우 특정한 state이 반복적으로 발생 하는 순서가 2진수의 순서를 그대로 따라가는데, 이러한 상태 변화는 LSB 부분을 클록 펄스 의 트리거 에지가 ... 지만 동기카운터의 경우 카운터 내의 모든 Flip-flop들이 공통의 클록 펄스에 의해서 동 시에 상태가 변하는 카운터입니다. ... 1.1 비동기카운터동기카운터의 작동원리와 차이점에 대하여 서술하 시오.기본적으로 특정한 state이 반복적으로 어떤 주기를 가지고 발생하면 카운터의 기능을 수행 할 수 있습니다
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 한글파일 [중앙대 아날로그및디지털회로설계실습]설계실습11(카운터 설계) 결과보고서
    서론 비동기 8진 카운터, 비동기 16진 카운터, 동기 16진 카운터를 설계하고 정상 동작하는지 확인했다. 2. ... 이는 chattering 현상 때문이다. (2) 비동기동기 16진 카운터 (A) 비동기 16진 카운터 정상동작 (B) 동기 16진 카운터 정상동작 3. ... 설계실습 결과 (1) 비동기 8진 카운터 (A) 비동기 8진 카운터를 다음 사진과 같이 설계했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.11
  • 한글파일 충북대 기초회로실험 카운터 회로 결과
    비고 및 고찰 이번 실험은 비동기카운터동기카운터의 구조 및 동작원리를 이해하는 실험이었다. 실험 2는 비동기식 10진 리플 카운터에 대한 실험이었다. ... 실험 6은 동기식 up 카운터에 대한 실험이었다. ... 실험 8은 비동기식 down 카운터에 대한 실험이었다.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 한글파일 MOD-16 카운터 회로
    동기 10진 카운터와 디코더를 이용한 7-segment 표시기는 비동기카운터로 QA~QD까지 서로 다른 출력 10개를 보여주게 된다. ... 실험 16 동기카운터 실험결과 BCD 동기 카운터 회로 CLR (2번 & 6번 pin) CK 7-segment 표시 0 0 1 downarrow(1) 1 1 downarrow(2 ... 그리고 비동기카운터 같은 경우 클럭에 맞추어서 한번에 움직이는 것이 아니다.
    리포트 | 7페이지 | 2,000원 | 등록일 2019.06.25
  • 파일확장자 디지털논리회로 연습문제 10장
    동기식 60진 상향 카운터 설계플립플롭 6개를 사용하며, 카운트가 60에 도달한 것을 감지하여 카운터를 리셋시켜야 한다.3. ... 비동기식 6진 상향 카운터 설계6진 카운터를 구성하기 위해 출력이 목표로 하는 최고 카운트에 1을 더한 6에 도달한 순간을 포착하여 모든 플립플롭의 출력을 Clear한다. 6진 카운터는 ... 비동기 입력(Clear, Preset)이 있는 3개의 플립플롭이 필요하다.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.05.21
  • 한글파일 중앙대학교 실험 예비 보고서 (카운터 설계)
    1의 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다. ... 실습목적 - JK Flip Flop을 이용한 동기식, 비동기카운터를 설계해 보고 리셋 기능을 이용하여 임의의 진수의 카운터를 제작할 수 있는 능력을 배양한다. ... 또한 Q1, Q2, Q3출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다. 1-3-3 10진 비동기 카운터 설계 16진 비동기 카운터와 리셋 회로를
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • 파일확장자 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 그리고 스위치를 눌러가며 000에서 111까지 토글되며 8진 비동기 카운터가 올바르게 동작하는 것을 확인하였다. 다음으로 16진 동기 카운터를 제작하고 동작 상태를 확인하였다. ... 상태에 따라 이진수 000에서 111까지, 그리고 다시 000으로 초기화되는 모습을 확인했다. 16진 동기 카운터의 경우, AND 게이트두개를 추가하여 설계하였으며, 공통 CLK에
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 한글파일 11. 카운터 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    동기 16진 카운터 설계 (A) 설계실습 계획서를 참고하여 16진 비동기 카운터를 결선한다. ... (B) 설계실습 계획서를 참고하여 16진 동기 카운터를 결선, 비동기식임을 확인한다. 16진 비동기카운터와 달리 AND GATE 4개로 이루어진 74HC089을 추가로 결선하였다 ... 또한 16진 동기카운터의 결과와 똑같이 나오는 것을 확인할 수 있다. 11-5.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 한글파일 충북대 기초회로실험 카운터 회로 예비
    이론 (1) 비동기카운터 플립플롭의 구동방식에 따라 비동기카운터동기카운터로 나뉜다. 이들은 각각 직렬 카운터와 병렬 카운터라고도 한다. ... 카운터 회로 (예비보고서) 실험 목적 (1) 비동기카운터의 구조와 동작원리를 이해한다. (2) 동기 계수기의 구조와 동작을 이해한다. (3) 임의의 mod 동기 계수기를 설계하는 ... 동기카운터에는 계수의 방향에 따라 올려세기 카운터와 내려세기 카운터 등으로 구별할 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 디지털 시스템 설계 및 실습 업다운 카운터 설계 verilog
    실습목적 동기카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... BCD카운터는 0에서 9까지 카운트 하므로 앞에서 설계한 UP-Down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다. ... 일상생활에서는 10진수를 주로 사용하므로, 이 실습에서는 10진수를 2진수로 표현하는 BCD 카운터를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.03.24
  • 한글파일 9주차-실험19 결과 - 카운터 회로
    비고 및 고찰 이번 실험은 동기카운터와 비동기 카운터, 그리고 Up/Down 카운터 등 다양한 카운터의 구조와 동작들을 이해하기위한 실험을 했습니다. ... 카운터의 구조와 동작원리를 이해한다. (2) 동기 계수기의 구조와 동작을 이해한다. (3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다. (4) 증계수, 감계수 및 증/감계수의 ... 설계한 것인데, 4개의 플립플롭이 모두 같은 클럭 입력을 받아서 작동하는 동기카운터입니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 파일확장자 아날로그 및 디지털 회로 설계 실습 결과11-카운터 설계
    요약 : JK Flip Flop을 이용한 동기식, 비동기카운터를 설계해보는 실험이었다. ... Function Genrator로 가해준 파형에 맞춰서 카운터가 올라가는 것을 LED로 확인할 수 있었다. ... 또한 이러한 카운터를 설계할 때 반드시 필요한 CLK 신호의 frequency에 따라 그 출력 값이 원하는 대로 나오지 않을 수도 있다는 것을 알게 되었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.03.29
  • 한글파일 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    동기카운터 : (1) 설계 방법 : 동기카운터의 특성상, 모든 플립플롭의 CLK는 하나의 클록신호원을 받아 동작을 수행하기 때문에, 모든 플립플롭의 출력 Q들은 Q _{"ne"xt ... 마지막으로, 구한 식을 바탕으로 회로를 설계하고, 모든 플립플롭들의 Clk입력은 하나의 클록신호만 받게끔 연결한다.[5] (2) 3비트 동기식 업 카운터 적용 : 업 카운터는 시퀀스의 ... 링 카운터와 존슨 카운터의 구성: (1) 링 카운터의 특징 : 상태 Q(A) Q(B) Q(C) Q(D) S(0) 1 0 0 0 S(1) 0 1 0 0 S(2) 0 0 1 0 S(3)
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 메카트로닉스 순차논리회로 -99카운터 실습 레포트
    카운터에는 동기식과 비동식으로 나뉘는데 동기식은 출력이 동시에 나오고, 비동기식은 시간차를 두고 차례대로 나오는 것입니다. 2.실험 방법 위의 회로도에 따라 기판에 회로 및 부품들을 ... 5진 카운터로 구성되어 있습니다. 2진카운터와 5진카운터를 각각 따로 만듦으로써 10진 카운터로 사용하기 위해서는 2진카운터 출력을 5진 카운터 입력으로 넣어줄 필요가 있습니다. ... 이를 해결해나가며 결과적으로 카운터 버튼을 누르면 우측 7-세그먼트가 1씩 상승하고 10에 다다르면 좌측 7-세그먼트가 1 상승하여 99카운터를 성공적으로 실험할 수 있었습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.30
  • 한글파일 아주대학교 논리회로실험 / 8번 실험 Counter 결과보고서
    본 실험에서도 확인했듯, 카운터는 크게 비동기카운터동기카운터로 나뉜다. 비동기카운터는 직렬 카운터라고 불린다. ... 동기카운터라면 변화를 일괄적으로 따다닥하고 확인할 수 있어야 하는데 마치 비동기카운터처럼 작동했다. ... 동기카운터는 이러한 지연시간의 누적이라는 단점이 없다는 점에서 비동기카운터와 대비된다. 공통의 클록펄스에 동기화되어 작동하기에 상대적으로 빠른 연산 속도를 갖는다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 워드파일 업다운 카운터 verilog 설계
    제목 동기식 BCD 카운터 설계 실습 목적 동기카운터는 순차논리회로에서 예제로 가장 많이 사용된다. ... 실습 내용 실습결과 Verilog설계 -BCD 동기카운터의 상태도 - BCD 가산기의 Verilog 코드 기술 counter.v tb_counter.v module counter ... BCD 카운터는 0에서 9까지 카운트하므로 앞에서 설계한 Up_down 카운터와 마찬가지로 10개의 상태를 정의하고, 클럭의 상승 에지에서 1씩 증가하도록 한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    결과분석 - 회로의 모든 플립플롭에 클록 펄스를 동시에 인가하는 동기 카운터로 설계한 회로이다. - 클록에 NOT 게이트가 있으므로 상향 카운터라고 볼 수 있고, 그러므로 각각 2분주 ... 실험 6) JK Flip-Flop을 이용한 동기 카운터를 설계하고, 오실로스코프를 사용하여 파형을 측정하시오. ? 회로도 ? 이론값 ? ... 실험 5) JK Flip-Flop을 이용한 비동기 카운터를 설계하고, 오실로스코프를 사용하여 파형을 측정하시오. ? 회로도 ? 이론값 ?
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서9
    Ripple Counter)라 부르며 각 단의 F/F에 가해지는 clock 펄스는 입력단의 clock 펄스와 동기 될 수 없으므로 이를 비동기카운터(Asynchronous Counter ... 이러한 전송지연을 없애기 위해서 모든 F/F들은 같은 clock 펄스에 의해 동시에 트리거 시킬 필요가 있으며 이러한 카운터동기카운터(synchronous Counter)라 ... 비동기카운터의 F/F 동작은 전단 출력에 의하여 트리거 되기 때문에 종속 접속된 F/F의 단 수가 늘어날수록 전송지연이 발생하게 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.10.24
  • 워드파일 SoC 보고서 - 2.비동기통신(UART)
    동기 통신은 통신의 송수신기에 해당하는 두 대상의 동기를 맞춰서 통신하는 것을 뜻한다. 보통 동기는 클록 신호로 맞춘다. ... 비동기 통신은 두 대상의 동기를 맞추지 않고 통신하는 방법이다. ... 이 카운터를 이용해서 start신호와 data 신호를 입력한다.
    리포트 | 40페이지 | 2,500원 | 등록일 2021.09.23
  • 한글파일 논리회로실험 예비보고서8
    동기식 counter는 앞의 결과에 영향을 받는 카운터로 사이에 전달 시간이 발생하게 된다. ... -비동기식 counter : 아래표의 왼쪽 그림에 해당하며, 앞의 결과에 영향을 받는 카운터로 사이에 전달 시간이 있으므로 비동기식 counter라 한다. ... 실험 목적 ·카운터의 동작원리와 특성을 이해한다. ·2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:41 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기