• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,161)
  • 리포트(1,769)
  • 자기소개서(295)
  • 시험자료(68)
  • 논문(12)
  • 방송통신대(12)
  • 이력서(3)
  • 서식(2)

"동기 카운터" 검색결과 121-140 / 2,161건

  • 한글파일 디지털회로실험 > 결과보고서 ch14, ch15 비동기카운터. 동기카운터
    Page 1실험 14.15 비동기카운터/ 동기카운터1. ... 실험 목적(1) 카운터의 동작 원리를 익힌다.(2) 비동기 카운터를 통하여 플립 플롭의 응용방법을 익힌다.(3) 가산 카운터와 감산 카운터의 차이점을 익힌다.(4) 비동기식 Modulus ... N 카운터의 동작 원리를 이해하고 동작 특성을 익힌다.(5) 동기카운터의 동작 원리를 익힌다.(6) 리플 캐리 카운터의 동작원리를 익힌다.(7) 동기식 Modulus N카운터
    리포트 | 4페이지 | 1,000원 | 등록일 2007.11.12
  • 한글파일 [논리회로] 실험 7. 비동기카운터
    동기카운터1. ... 또한, 동기카운터는 체계적인 설계 방법이 존재하는 반면, 비동기카운터는 주로 설계 자의 직관에 의존한다.(2) 이진(binary) 카운터와 십진(BCD) 카운터- 카운터의 비동기형 ... 따라서 비동기카운터 (asynchronous counter)와 동기카운터(synchronous counter)로 구분된다.- 동기카운터는 모든 플립플롭이 같은 클럭 신호에
    리포트 | 11페이지 | 1,000원 | 등록일 2004.07.23
  • 한글파일 [논리회로실험] 비동기 카운터
    제어를 순차적(sequencing)으로 실행하는 순서 논리회로이다.카운터는 다음과 같이 크게 비동기동기의 두 가지로 구분된다.(1) 비동기 카운터(Asynchronous counter ... 비동기 카운터의 전파지연비동기 카운터 즉, 리플 카운터는 기본적으로 전단의 출력을 입력으로 해서 동작하는 카운터로 각단 Flip Flop의 전파지연이 누적되어 그리치 현상이 일어 날 ... 비동기 2진 카운터아래 그림은 비동기 4단 2진 카운터로서, 외부 클럭은 첫단의 Flip Flop에만 인가되고 클럭 펄스의 하강에지 트리거가 가해질 때마다 첫단의 Flip Flop
    리포트 | 7페이지 | 1,000원 | 등록일 2003.06.02
  • 한글파일 [논리회로실험] 동기카운터
    {제 목실험10-동기카운터학 번1998049316제 출 일2003년 4월15일조7조이 름신 동 준1 목 적 :동기식 Count-Up, Count-Down 카운터, 리플 캐리 카운터 ... 점에 있어서 비동기카운터와 다르다. ... 따라서 동시식 카운터는 비동기카운터에 비해서 전달지연이 훨씬 작다.
    리포트 | 8페이지 | 1,000원 | 등록일 2003.04.15
  • 한글파일 10월20일 실험 18, 21 단안정 및 비 안정 멀티바이브레이터, 동기 카운터 설계 예비보고서
    1. ... 실험 18, 21 단안정 및 비 안정 멀티바이브레이터, 동기카운터 설계 예비보고서 날 짜 : 2009. 10. 20 6조 학 ... 동기 카운터 설계에 있어서 가장 먼저 해야 할 일은 계수 시퀀스를 나타내는 상태 다이어그램을 작성하는 것이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2011.04.14
  • 워드파일 [정보통신] 4bit 비동기카운터
    library ieee;use ieee.std_logic_1164.all;entity count_16_as isport (clk : in std_logic; count_out : out std_logic_vector(3 downto 0));end count_1..
    리포트 | 2페이지 | 1,000원 | 등록일 2004.06.25
  • 한글파일 [논리회로]동기카운터 설계(4비트)
    Circuit design으로 설계할 수 있다. 4비트 동기카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기카운터를 의미한다. 4비트 동기카운터의 계수 순서는 ... 순차 회로 설계(4비트 동기카운터)[목적]1. 4비트 동기카운터의 개념과 동작 특성을 익히고, PLD를 이용한 회로 구현. ... [기본이론]카운터는 순차회로들 중에서 가장 간단한 회로이다. 4비트 동기카운터 설계의 순서는 1. State Diagram, 2. Transition table, 3.
    리포트 | 5페이지 | 1,500원 | 등록일 2004.09.18
  • 한글파일 [디지털회로실험] 동기카운터
    동기카운터예비 report동기 카운터[1] 목적동기카운터의 원리 및 필요성을 이해한다.리플케리 카운터를 통하여 동기카운터의 간소화 방법을 익힌다.플립플롭의 응용능력을 키운다 ... 동기식 순차회로와 비동기식 순차회로의 예를 들기 위해 그림 1에 동기카운터라고 불리는 회로와 비동기카운터라고 불리는 회로를 나타내었다. ... 순차회로와 비동기식 순차회로(a) 동기카운터순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다.
    리포트 | 14페이지 | 1,000원 | 등록일 2002.06.10
  • 한글파일 동기카운터
    실험목적 : 비동기식의 Count-up 카운터, 십진 카운터(Decade Counter)등의 동작 원리를 이해하고 측정을 통하여 각각의 동작 특성을 확인한다.
    리포트 | 13페이지 | 무료 | 등록일 1999.10.15
  • 한글파일 [전자공학실험] 동기카운터 결과레포트
    다음단의 J 입력으로 연결되는 동기식 MOD-10 카운터 회로이다. ... 동기카운터 결과레포트실험 결과실험1.74LS76 JK Flip-Flop 4개를 사용한 MOD-10(10진) 카운터로서 각 JK Flip-Flop의 출력이 AND gate를 거쳐 ... 그리고 결과값마나 아웃풋의 주기가 2배씩 늘어나는 것을 확인하여 앞에서 보였던 출력의 상태표를 증명 할 수 있었다.실험2동기식 4비트 카운터인 74LS163과 1-to-8 De-Multiplexer
    리포트 | 15페이지 | 1,000원 | 등록일 2003.12.04
  • 한글파일 [논리회로] 4비트 동기카운터
    4비트 동기카운터◎ 기본이론카운터는 순차회로들 중에서 가장 간단한 회로라고 할 수 있다. ... 다음 펄스가 TA, TB, TC 에 전달되고 모든 Flip Flop들은 0 상태로 돌아온다.4비트 동기카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기카운터를 의미한다 ... MyPLD를 이용하여 4비트 2진 동기카운터의 JEDEC 파일을 생성하시오.
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.21
  • 한글파일 동기카운터 예비보고서
    이러한 점에서 동기카운터의 이점이 있는가하면 구조상으로 회로가 복잡하다는 점에서 비동기식보다 불리하다.(1)동기식 Count-Up 카운터그림 15-1 동기식 Count-Up 카운터 ... )동기식 Count-Down 카운터동기식 Count-Down 카운터는 모든 플립플롭들이 동일한 클록 펄스에 의해서 동시에 동작한다는 점을 제외하고는 비동기식 Count-Down 카운터와 ... 실험목적(1) 동기카운터의 동작원리를 익힌다.(2) 리플 캐리 카운터의 동작 원리를 익힌다.(3) 동기식 Modulus N 카운터의 동작 원리를 이해하고 동작 특성을 익힌다.(4
    리포트 | 9페이지 | 1,000원 | 등록일 2001.11.18
  • 한글파일 [논리회로] 4비트 동기카운터
    {그림 23.1 동기형 2진 카운터{4비트 동기카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기카운터를 의미한다. 4비트 동기카운터의 계수 순서는 아래 그림 23.2와 ... {4비트 동기카운터. 목 적. 4비트 동기카운터의 개념과 동작 특성을 익히고, PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다.. ... 현대에는 고집적이면서 저가인 집적회로 소자라 널리 보급되어 동기카운터가 많이 사용되고 있다.. 비동기카운터
    리포트 | 4페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 한글파일 [논리회로] 4비트동기카운터.hwp
    23. 4비트 동기카운터【기본이론】카운터는 순차회로들 중에서 가장 간단한 회로라고 할 수 있다. ... 다음 펄스가 TA, TB, TC 에 전달되고 모든 Flip Flop들은 0 상태로 돌아온다.4비트 동기카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기카운터를 의미한다 ... 1101 ← 1100 ← 1011 ← 1010 ← 1001 ← 10004비트 동기카운터동기회로이므로 레지스터의 종류에 따른 진리표를 작성해야 하는데 PAL 소자에 쓰인 레지스터는
    리포트 | 7페이지 | 2,000원 | 등록일 2003.08.13
  • 한글파일 [디지털회로실험] 비동기카운터
    동기카운터예비 REPORT예비 REPORT[1] 목적비동기카운터를 통하여 플립플롭의 기초적 응용방법을 익힌다.비동기식 증가형 카운터와 감서형 카운터 십진 카운터 등의 동작원리를 ... 비동기카운터동기카운터에 비해 회로가 간단해 진다는 장점이 있으나 전달지연이 커진다는 단점이 있다.(2) 비동기식 증가형 카운터와 감소형 카운터그림 1에 T 플립플롭을 사용해 ... 이론(1) 동기카운터와 비동기카운터의 차이동기카운터는 모든 플립플롭들이 하나의 공통클럭에 연결되어 있어서 모든 플립플롭이 동시에 트리거(trigger) 되지만, 리플(ripple
    리포트 | 7페이지 | 1,000원 | 등록일 2002.06.10
  • 한글파일 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 9장(8비트 동기카운터) 예비보고서
    이론 1) 동기식 2진 카운터 동기카운터는 클럭에 동기 하여 값을 1씩 증가하거나 감소하는 동작을 수행한다. ... 동기카운터는 비동기카운터와 같은 전달 지연시간을 가지지 않기 때문에 상대적으로 고속으로 동작시킬 수 있다. ... 실험내용 및 예상 이번 실험은 74163 TTL을 이용하여 4비트 동기카운터와 8비트 동기카운터를 구성하는 실험이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • 한글파일 [전자공학실험] 비동기카운터-결과레포트
    동기카운터실험 결과실험1.비동기식 MOD-10 카운터 회로이다 카운터의 동작을 확인하라.회로도{시뮬레이션{실험분석위 회로와 시뮬레이션 한 결과로 미루어 보아 이번에 실험할 회로는 ... 이번에 실험할 것들이 비동기카운터인데 비동시식과 동기식의 차이부터 우선 알아 두어야 하겠다. 비동시식은 위의 회로와 같이 CLK 에 같은 clock이 들어오지 않는 경우이다. ... 동기식은 위의 방식과는 다르게 동일한 clock이 들어가게 되는 회로이다. 동기식은 다음에 하기로 하고 우선 비동기식부터 실험한 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2003.10.20
  • 한글파일 1. 동기식 clear, load를 갖는 양방향 카운터를 VHDL로 설계 시뮬레이션한 후 파형을 관찰
    동기reset을 포함한 12진 카운터를 설계 파형을 관찰하시오 ... 동기식 clear, load를 갖는 양방향 카운터(0~255)를 VHDL로 설계해서 시뮬레이션한 후 파형을 관찰하시오.(variable과 integer사용)2.
    리포트 | 2페이지 | 1,000원 | 등록일 2007.05.14
  • 한글파일 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 9,10장(8비트 동기카운터, 8비트 시프트 레지스터) 결과보고서
    우선 74LS163은 로드 입력을 가지고 있는 동기카운터로 로드에 입력을 주면 입력 4개가 출력으로 되고 로드에 입력을 안주면 그다음부터 카운터가 되는 TTL소자 이다. ... 이번 실험에서는 로드를 안쓰고 카운터를 이용하여 4bit카운터를 사용하였고 그 카운터로 출력되는 값을 74LS47의 입력값에 넣어서 각 카운터 마다 7세그먼트로 맞게 실험이 되는지 ... 카운터가 4bit카운터여서 0 ~ 15까지 값이 출력되고 7세그먼로 값이 나오는데 10부터는 숫자가 아닌 다른모양의 불이 나오는데 진리표에 나온데로 나왔다.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.05.07
  • 한글파일 Flip-Flop 2 , 비동기카운터
    JK Flip-Flop 과 T Flip-Flop을 이해하고 , JK Flip-Flop의 응용인 카운터 회로를 구성하여 이 회로들의 동작을 확인한다.
    리포트 | 19페이지 | 무료 | 등록일 1999.10.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:29 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기