• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(588)
  • 리포트(565)
  • 자기소개서(10)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 361-380 / 588건

  • 한글파일 7-세그먼트 LED 디코더 표시회로
    이론을 입증한다. ... ▣실험이론 1) 디코더 원리 그림 14-1은 0,1,2,3,4,5,6,7,8,9의 키를 누를 때 그에 상응하는 숫자를 표시하는 논리회로의 r성도를 나타낸다. ... REPORT 7세그먼트LED 디코더와 표시회로 결과보고서 ▣실험제목 - 7-세그먼트 LED 디코더와 표시회로 ▣실험목적 - 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 한글파일 “CPLD” 에 관한 조사
    이론 BCD-to-7세그먼트 디코더는 그림에 나타낸 것과 같이 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고
    리포트 | 7페이지 | 1,000원 | 등록일 2011.03.20
  • 한글파일 MPEG-2와 H.264에 대하여
    디코더는 현재 나와 있는 H.264 디코더 중 가장 빠른 디코더라고 알려져 있다. 어떤 점에서는 하드웨어 디코더에 필적하는 성능을 보여준다.[1]. ... MPEG-2의 MPEG-1과 구별되는 특징으로는 데이터 유실이 많은 전송 가된 내용이 없기 때문에 이론적으로 MPEG-1과 동일한 압축율을 가진다고 봐도 무방하다. ... 블루레이 및 DVD 포럼과 휴대 게임기에서도 H.264를 채택하고 있다. (5) H.264 관련된 기술 * 코어AVC(CoreAVC) : 코어코덱 사가 개발한 비디오 디코더이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2010.12.13
  • 한글파일 복호기와 부호기
    이론 (1)디코더(Decoder) 디코더(decoder)는 부호화된 입력을 다른 부호화된 출력으로 변환하는 다중 입력, 다중 출력 논리 회로를 말한다. ... 즉 n비트로 코딩된 2진 정보를 최대 2n개의 서로 다른 출력으로 바꿔주는 조합회로로 n개의 입력과 m개의 출력을 갖는 디코더를 n×m 디코더라 표현한다. ... -정보(2n개) 2진 코드(n 비트) -디코더 : n개의 입력 ?
    리포트 | 22페이지 | 1,000원 | 등록일 2010.12.20
  • 한글파일 기초전자회로실험 - 디지털 시계
    완성 작품 프로젝트 진행 후 소감 이번 프로젝트를 진행하면서 회로이론과 디지털 공학시간에 배운 회로들을 직접 설계해 보고 제작해 봄으로써 이론으로써의 공부가 아니라 참여적 공부를 할 ... (TTL 7447), 12시간 표시기 디코더, modulo-N 카운터 등이 필요하다. ... 4.Main Subject & Basic Theory 디지털 시계의 구성 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더
    리포트 | 10페이지 | 3,000원 | 등록일 2011.04.25
  • 파워포인트파일 8진 카운터를 이용한 회로
    디코딩을 하고 출력을 내어 그 다음 트랜지스터 스위치를 이용하여 각각의 소리가 나게 하는 회로 입니다. ..PAGE:3 회 로 구 성 555타이머 → 8진 카운터 → 3-to-8 디코더 ... 플립플롭 결정 상태표 작성 F/F 의 입력조건과 현상태에 대한 부울함수 설정(간소화 : 부울대수의 기본 원리나 카르노 맵사용) 회로도 작성 ..PAGE:7 회로도 및 동작 설명 4 디코더 ... 회로도를 이론적으로 다 구성하고 시뮬레이션을 하여 동작 하는 것을 확인 하였을 땐 제데로 되겠구나 생각 하였지만, 실제 회로 구성시에는 제데로 동작하지 않아 회로도를 몇번 바꾸는 시도를
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.06
  • 한글파일 논리함수와 게이트
    . → 이론8(page.35)에 나와 있듯이 논리레벨 전압 값으로 0V와 5V로 했다. ... 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 2x4 회로도를 설계하라. → 2X4 디코더는 입력이 2개이고 출력이 4개인 분배기이다. ... 것이다. pspice 같은 경우에는 에 DC sweep 기능을 사용해서 0V ~ 5V 의 값으로 0.5V 정도 step으로 측정하면 될 것이다. (4) 게이트를 사용하여 만든 2x4 디코더
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.08
  • 한글파일 인코더, 디코더
    ▣실험이론 디지털 시스템에서는 모든 부호가 2진수로써 1과 0의 값으로 표시 된다. ... REPORT 인코더 및 디코더 결과보고서 ▣실험제목 - 인코더 및 디코더 ▣실험목적 - 인코더 및 디코더 회로를 실험으로 입증하고 이해한다. ... 이번실험에서는 인코더와 디코더의 결과를 74LS138(디코더)과 74LS147(인코더) IC를 통하여 동작특성을 살펴보았다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.01
  • 한글파일 [논리회로] 3x8 디코더
    3×8 디코더(Decoder) 【기본이론】 디지탈 시스템은 입출력 데이터로 0과 1 즉, 2진수를 사용하고 있지만 우리들은 10진수를 사용하고 있으므로 10진수가 이해하기가 쉽다. ... 디코더 (Decoder) 디코더(decoder : 복호기)는 부화화된 데이터로부터 정보를 찾아내는 조합 논리회로로서, 원래 암호를 해독하는 의미를 지니고 있다. ... Y0 Y1 A 3×8 Y2 B line Y3 C Decoder Y4 Y5 Y6 Y7 【3×8 디코더 블록도】 일반적으로, 디코더는 2진수와 같이 코드화된 정보를 10진수나 문자와 같이
    리포트 | 5페이지 | 1,000원 | 등록일 2003.08.13
  • 한글파일 디지털공학실험 3장 수체계(예비)
    MAN72 7-세그먼트 디스플레이 4비트 DIP 스위치 저항 : 330 10개, 1.0K 1개 ■ 심층 탐구 실험용 부품 추가의 LED 330 저항 1개 ■ 관련이론 어떤 수 체계에서 ... 전원을 인가하기 전에 그림 3-3에서와 같이 디코더 출력과 MAN72 입력사이에 330 의 전류 제한 저항들을 달았는지 확인하라. ... 그러므로 이자릿수를 표시하기 위해서는 추가의 디코더 없이 일반 LED를 사용할 수도 있다. 원래의 7-세그먼트 디스플레이는 작은 자릿수를 표시할 것이다.
    리포트 | 10페이지 | 2,500원 | 등록일 2010.04.06
  • 한글파일 디지털 시계 조립
    설계이론 1. ... 설계하는 디코더의 기능은 2진수를 입력받아 BCD로 변환하여 출력하는 디코더이다. 그리고 그 디코더는 과 과 같이 설계할 수 있다. ... 위해서 디코더를 설계해야 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.18
  • 한글파일 논리회로설계실험 프로젝트 8Bit 계산기
    관련 기술 및 이론 (1) BCD BCD(Binary-coded decimal) 코드는 우리말로 ‘이진화 십진법’ 이라 한다. ... 입력에 따른 올바른 출력을 나타내기 위하여 우리는 지난 실습 때 설계하였던 디코더를 활용하여 자료흐름적 표현 중 조건적 병행 신호 처리문으로 7segment를 설계하였다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • 한글파일 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6장(7-세그먼트디코더) 예비보고서
    이론 1) 7-세그먼트 7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 아래 그림과 같은 모양을 가지고 있습니다. ... 공통형 7세그먼트 표시기를 위한 디코더이다. ... 볼 수 있습니다. (4) BCD-to-7세그먼트 디코더 기능을 수행하는 TTL 7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.07
  • 워드파일 디지털 논리 실험, 멀티플렉서와 디멀티플렉서, 인코더, 디코더 예비 보고서
    기본이론 1) 멀티플렉서(MUX : multiplexer)는 복수개의 입력선으로 부터 필요한 데이터를 선택하여 하나의 출력선으로 내보내는 회로이다. 그림 1. ... (그림 3. 3×8 디코더) 4) 인코더 : 디코더의 반대 기능을 수행하는 조합 논리회로이다. m()개의 입력과 n개의 출력을 가진다. ... 원리를 이해한다. 4) 인코더와 디코더의 차이점을 이해하고 이를 응용한다. Ⅱ.
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • 워드파일 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 예비 보고서
    디코더를 사용하면서 주의해야 할 것은 동시에 여러신호를 출력할 수 없고 한번에 하나의 신호만 출력할 수 있다. ... 실제로 장비를 구동시켜본 후, 이론상의 결과와 일치하는지 확인한다. 나. ... 실제로 장비를 구동시켜본 후, 이론상의 결과와 일치하는지 확인한다. (3) Procedure of the Lab 3.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 한글파일 영상신호처리#5
    일반적으로 저작권에 적용되는 원칙 중에 최초 판매 이론 혹은 권리 소진의 원칙이라는 것이 있다. ... 오류 견고성 > 낮은 지연 능력 및 높은 지연에서의 양호한 화질 > 구현을 단순화하는 수월한 구문(syntax) 규격 > 정확한 대응식 디코딩은 오류 누적 방지를 위하여 인코더와 디코더에서 ... 8장 과제 학과 전자공학과 학번 성명 제출일 2013. 11. 26 확인 신호 포멧, 압축 방법 조사 - JPEG - MPEG - mp3 - H.264 등등 영상압축에관한 기본적 이론
    리포트 | 9페이지 | 1,000원 | 등록일 2014.04.08
  • 한글파일 BCD 카운터 & up and down 카운터 제안서
    명 제 ⇒ 저번 설계에서 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하였다. 2진 입력을 BCD코드로 디코드하는 ... ATmega128의 관련이론 2.1 ATmega128의 I/O 포트의 기본 < 첨 부 1 > 다음 그림과 같이 ATmega128은 8비트 디지털 입/출력 포트로는 Port A ~ Port
    리포트 | 5페이지 | 1,500원 | 등록일 2013.05.05
  • 한글파일 Encoder와 Decoder 결과보고서
    실험방법 (1) 회로 구성후 진리표 작성 및 이론치 값 쓰기 A B D0 D1 D2 D3 0 0 4.52V 0.143V 0.144V 0.145V 0 1 0.143V 4.518V 0.143V ... 디코더(Decoder) 디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리 회로이다. ... BCD to 7-Segment 디코더에 대해 설명하라.
    리포트 | 5페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • 한글파일 수 체계 실험 결과 보고서 (7 segment)
    □모의실험용으로 결함을 만들어 놓은 회로의 고장 진단. 2.이론 요약 수 체계에서 기호의 개수를 기수라고 부른다. 10진수 체계에서는 양을 표현하기 위해 0부터 10개의 숫자 기호를 ... 이번 실험에서는 단순화된 디스플레이 장치를 구성해 볼 것이다. 7-세그먼트 결선 데이터 및 관찰 내용 : 표 3-1 7447디코더의c부터 시작해서 차례대로 세그먼트의 A-c B-d ... 맨 앞자리의 0을 표시하지 않기 위한 방법 먼저 이실험을 하기위해서는 7447 디코더의 정확한 기능에 대해서 알아야 합니다. 7447은 밑의 그림에서 보면 4번핀 BI/RBO 와 RBI
    리포트 | 4페이지 | 1,500원 | 등록일 2011.01.05
  • 한글파일 과열도 제어를 위한 퍼지제어기
    퍼지 엔코더(Fuzzifier), 퍼지 디코더(Defuzzifier), 퍼지 추론부(Fuzzy Inference orm 또는 S-norm) 으로 구성되어 있기 때문에 그러하다. ... 방식, Sum-Product 방식 등 (2) 간접법: Baldwin 방식, Tsugamoto 방식 등 (3) 혼합법: Sugeno 방식, 딘순(Simplified) 방식 등 ③퍼지 디코더 ... PLC 이론 1.
    리포트 | 22페이지 | 2,000원 | 등록일 2011.03.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 06일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:13 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기