• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(588)
  • 리포트(565)
  • 자기소개서(10)
  • 시험자료(8)
  • 논문(3)
  • 방송통신대(2)

"디코더 이론" 검색결과 261-280 / 588건

  • 한글파일 05-논리회로설계실험-예비보고서
    실험 목표 2 bit의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로를 VHDL을 이용하여 설계할 수 있다. ... 예비 이론 (1) 1비트 비교기 - - X = AB’ - Y = A’B - Z = A’B + AB (2) n비트 비교기 - 두 수의 MSB(최상위 비트)를 먼저 비교하여 크거나 작다면
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 디지털실험 10 예비 4-Phase clock
    이론 다위상클럭은 여러 주기 혹은 동일 주기의 클럭신호가 서로 다른 위상으로 중첩 혹은 비중첩으로 구성한다. ... Q _{A}와 Q _{B}가 디코더의 입력으로 들어가 출력 p1~p4까지 나온 것을 생각하면 C=1일 때 g에 1입력되어 디코더 작동. p1 즉 Y0는 Q _{A}=0, Q _{B} ... 디코더에 입력되어 파형을 만들기 위해 만들어졌다. Q _{A}=Q1(첫번째ff에서 나온 결과)+=Q2`Q1`+Q2Q1이고 Q1`은 이것의 보수이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 [Ayeun]컴퓨터구조 CPU 설계 보고서
    컴퓨터구조 중간고사 제목 CPU 설계 보고서 학과 전자공학과 학번 성명 제출일 2018. 05. 06 소요시간 20시간 *사전 이론 내용* 1. 16비트의 CPU 설계의 레지스터 기본 ... 때문에 주소를 나타내는 12bit, 연산코드를 나타내는 3bit, 간접주소인지 직접주소인지 나타내는 1bit로 구성되어 있으며 여기서 IR레지스터의 12~14번째 데이터가 3X8디코더
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • 한글파일 논리회로실험 실험5 Decoder & Encoder 결과보고서
    디코더와 달리 반대로 10진수의 수를 3초과 코드로 변환시키는 인코더이다. ... 0 0 1 1 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0Decoder - 실험 과정 및 이론 ... 실험 결과에서 볼 수 있듯이 코드가 1001인 코드가 9를 나타내는 마지막 코드워드이다. ◈ 실험 3 : Decimal to Excess-3 Encoder - 실험 과정 및 이론 :
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습7 [결과레포트]
    Matters that require attentions (1) Attentions to manipulate equipments 앞에서 설명된 이론적인 부분을 확실히 학습하고 실험을 ... 7-Segment 7-Segment 기본적으로 0~F의 16진수를 표시하기에 적당함. 8개의 LED의 조합으로 문자 ㅛㅍ시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더
    리포트 | 20페이지 | 1,000원 | 등록일 2017.10.19
  • 파워포인트파일 디지털시계 ppt 할인자료
    부품 / 준비물 디지털시계제작 고찰 프로젝트 개요 디지털시계를 제작함으로서 회로구성의 용이성 , 범용성 등의 장점을 직접 체험할 수 있으며 지금까지 배운 디지털 시스템에 대한 모든 이론을 ... 세그먼트 7-segment 초 분 AM / FM 시 회도 10 진 카운터 10 진 디코더 6 진 카운터 6 진 디코더 12 진 카운터 12 진 디코더 10 진 디코더 2 진 디코더 ... 디코더 (Decoder) : 컴퓨터언어를 사람이 알아볼수있게 해독하는것 ※ 7-segment 디코더 : 0 ~ 9 까지 숫자를 표현 .
    리포트 | 19페이지 | 1,500원 (40%↓) 900원 | 등록일 2012.12.10
  • 한글파일 아주대 논회실 실험9 예비보고서
    실험이론 1) RAM이란? RAM은 정보를 저장하거나 저장된 정보를 읽어낼 수 있는 기억장치를 말한다. 일반적으로 기억장치는 주소(address) 개념을 갖는다. ... 만일 디코더의 enable 신호선에 E=0이 입력되면 디코더의 출력선 S0∼S3은 모두 0이 되고 따라서 모든 셀들이 동작을 하지 않는다. 3. 실험부품 및 주의사항 ? ... RAM 회로 내부에 존재하는 디코더는 E=1일 경우 주소선으로 부터 주소값을 입력받아 해당되는 번지에 속한 셀들을 선택하는 역할을 수행한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 한글파일 아주대 논회실 실험5 예비보고서.hwp
    실험이론 1) Decoder(해독기) 디코더는 해독기라고도 하며, n비트의 2진수를 받아서 ... 위에서 오른쪽에 있는 그림처럼 입력선이 2개, 출력선이 2 ^{2}=4개인 2-to-4 디코더를 나타내었다. ... 일반적으로 디코더는 n개의 입력선과 최대 2 ^{n}개의 출력선을 가지며, 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선들과 반대값을 갖는다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 한글파일 인코더 및 인코더
    검토 및 문제점 분석 이번 실험의 목적은 인코더와 디코더에 관해 실험해보고 디코더를 이용하여 BCD 7세그먼트를 만들어보는 실험이었다. ... 관련 이론 ▶ Encoder - 2 ^{n} 을 입력하면 n개의 출력을 얻는 장치 - 신호 전송시 Encoding 하면 전선수가 줄어듬 - 10진수를 2진수로 변환시킴 - 부호기 라고도 ... 디코더는 입력 n개에 대해 2 ^{n}개의 출력을 내고, 인코더는 2 ^{n}개의 입력에대해 n개의 입력을 내어준다.
    리포트 | 16페이지 | 1,500원 | 등록일 2013.10.15
  • 한글파일 디지털실험 15예비 up/down counter
    이론 증가 2진 카운터 sequence에서는 내부의 상태를 변화하는 과정이 출력되는 카운터가 요구되며 2진 카운터가 일반적으로 사용된다. ... 그러면 디코더 출력중 하나(3에 해당하는 출력)만 1이 나온다. ... 알람을 작동시키는 입력을 받고 작동시키는 동작을 위해서 디코더를 사용했다. m61까지 표시할수 있도록 6입력 64출력 디코더를 사용해야 하지만 라이브러리에 없으므로 7442를 사용했고
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 한글파일 아주대 논회실 실험8 예비보고서
    실험이론 1) 카운터(counter): 레지스터와 게이트로 구성되어서 순서에 따라서 일정한 출력이 나오고, 일정한 주기가 되면 원래 상태로 되돌아가는 것이다. ... 동기식 Counter 디코더 역할을 하는 AND GATE B A A'B' (0) AB' (1) A'B (2) 0 0 1 0 0 0 1 0 1 0 1 0 0 0 1 ... 0 0 1 0 1 1 0 0 0 1 디코더 역할을 하는 AND GATE 실험방법: 회로도에 나온것과 같이, 2단 2진 Counter ?
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 한글파일 실험4 예비보고서
    이론 멀티플렉서와 디멀티플렉서의 이용방법과 Tri-state buffer 및 Decorder에 대하여 간단하게 조사해 보았다. ... 5x32 디코더로 구성하고자 한다면 4개의 디코더가 필요하고, 인버터는 한 개만 필요하다. ... 그리고 74139 디코더는 두 개의 디코더를 내부적으로 가지고 있기 때문에 인버터 하나만 추가하여 output enable 단자를 연결하는데 쓴다면 3x8 디코더를 쉽게 구성할 수
    리포트 | 10페이지 | 1,000원 | 등록일 2013.01.01
  • 한글파일 아주대 논회실 논리회로실험 실험4 예비보고서
    실험 이론 1.멀티플렉서(Multiplexer) 멀티플렉서는 여러 개의 입력 중 원하는 입력을 출력으로 내보내는 데이터 선택기이다. ... -디코더 칩의 구조와 동작에 대해서 이해한다. 2. ... 0 B 1 0 0 D 0 0 1 A 1 1 D 0 0 0 4x1 멀티플렉서의 회로도 IC로 만들어진 멀티플렉서 (single 1, dual-2, quad-4, hex-6) IC 디코더
    리포트 | 8페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 한글파일 전자공학실험1 실험7장 결과보고서 : 디코더와 멀티플렉서
    이론적으로 괄호안의 1Hz, 10Hz가 표시되어야 하는 ‘000’과 ‘001’ 은 주파수가 너무 낮아 오실로스코프에서 확인이 되지 않는 것처럼 보였다. ... 디코더와 동작 원리를 익히고 7-segment LED에 display하는 모양에 따라 디코더를 설꼐할 수 있도록 한다. ... 결과보고서 전자공학실험1 실험7 : 디코더와 멀티플렉서 조 : 실험일자 : 2012.4.20 제출일자 : 2012.5.4 1.목적 디코더와 디멀티플렉서의 기능과 동작 원리, 7-segment
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.31
  • 한글파일 디지털회로 - 7-세그먼트 제어기 사전
    이론 1. 7-세그먼트 ? 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 아래와 같은 모양을 가지고 있다. ... 실험방법 및 Simulation 1. 7-세그먼트 디코더 회로 동작 확인 ? 위의 회로도가 7-세그먼트 디코더 회로이다. ... 7-세그먼트 디코더 진리표 7-세그먼트 디코더는 BCD로 입력되는 신호를 사람이 시각적으로 확인할 수 있는 10진수의 숫자로 표시하기 위해 신호를 변환하고 진리표는 아래와 같다. 7
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.08
  • 한글파일 06인코더 디코더와 멀티플렉서 디멀티플렉서 예비
    이론 가. ... 디코더 회로를 실험보드에 구성하고 디코더의 출력에 LED를 연결하여 디코더의 입력을 변화시키면서 디코회로 ... 인코더/디코더/멀티플랙서/디멀티플랙서 예비 1. 목적 가. 인코더와 디코더의 기능 및 그 구성 방법을 익힌다. 나.
    리포트 | 9페이지 | 1,000원 | 등록일 2012.11.06
  • 한글파일 10. Shift Register 와 Shift Counter - 복사본
    Shift register와 Shift counter의 특성 및 동작원리를 이해할 수 있다. ② Shift register와 Shift counter 응용회로를 이해할 수 있다. 2.관련이론 ... 나타낸 것이다. ②Johnson Counter : Johnson counter는 타이밍 신호를 생성하기 위한 회로의 flip-flop의 수를 줄이기 위해 shift register와 디코더
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.26
  • 한글파일 멀티플렉서, 디멀티플렉서, 디코더 및 인코더 구조
    이론 (1) 멀티플렉서 (MUX: Multiplexer) - 멀티플렉서는 다수의 입력 신호, 선택 신호, 그리고 하나의 출력 신호로 구성된다. ... 진리표 (4 x 2 디코더) 입력신호 출력신호 A B 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 2) 디코더 회로 (4 x 2 디코더) ... 실험목적 (1) 연산 회로의 기본인 멀티플렉서, 디멀티플렉서, 디코더 및 인코더 구조를 이해하고 동작 특성을 확인한다. (2) 기본 게이트들을 사용하여 멀티플렉서 및 디멀티플렉서를
    리포트 | 8페이지 | 1,500원 | 등록일 2008.04.15
  • 한글파일 논리예비5 Decoder & Encoder
    실험이론 DC 전원공급기 (power supply), 저주파발생기, 오실로스코프 74HC04, 74HC20, 74HC42, 74HC76, 74HC90, 74HC47 Common anode ... 디코더라고 하면, n개의 입력변수로 된 최소항을 개 이하의 출력으로 바꾸어 줌. - 디코더의 각 출력은 하나의 입력조항에 대해서만 1이 되어 상호 배타적인 출력을 가진다. ... 디코더의 변환은 카운터의 카운트 상태를 AND gate에 입력하여 이루어진다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • 한글파일 아주대 논회실 논리회로실험 실험5 결과보고서
    -실험 이론 -디코딩(Decoding) 디코더는 디지털 시스템에서 사용하는 2진수를 우리가 쉽게 인지할 수 있는 숫자나 문자로변환해 주는 소자이다. 2진수를 10진수로 바꿔주는 회로는 ... 입력의 개수를 n, 출력의 개수를 m이라 하면 nXm디코더라 하고 n개의 입력에 대해서 2n 개 이하의 코드 출력을 가진다. ... 디코더는 n-bit의 코드 입력과 2n의 코드 출력을 가지는 소자로서 하나의 코드 입력과 하나의 코드 출력을 1:1로 대응시키는 기능을 가진다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 06일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:51 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기