• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,609)
  • 리포트(2,336)
  • 자기소개서(142)
  • 시험자료(86)
  • 방송통신대(35)
  • 논문(7)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 신호등" 검색결과 201-220 / 2,609건

  • 한글파일 실험3. 멀티플렉서와 디멀티플렉서 예비보고서
    전자 멀티플렉서는 여러 신호가 이를테면 입력 신호 당 하나의 장치를 보유하지 않고 아날로그-디지털 변환회로나 하나의 통신선 등 하나의 장치나 자원을 공유할 수 있게 만들어준다. ... 이 구현 방식에서 자리올림수 출력 전의 마지막 OR 게이트를 XOR 게이트로 바꾸어도 논리값이 바뀌지 않을 것이다. ... 하지만 회로상에서 3개 입력이 대칭되어 있다고 할 수 없다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.05.26
  • 워드파일 디지털 논리회로의 응용 카운터/시프트레지스터
    디지털 논리회로의 응용 – 카운터/시프트레지스터 예비 레포트 실험 목표 비동기식 카운터와 동기식 카운터의 원리에 대해 이해할 수 있다. ... 비동기식 카운터는 첫 단의 플립플롭에 클럭 신호가 인가되어 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어있는 회로를 말하며, 클럭의 영향이 물결처럼 후단으로 ... 카운터는 특정 사건의 발생 횟수를 계산하고, 시스템의 작업을 제어하기 위한 타이밍 간격을 생성하며, 특정 사건들 사이의 경과 시간을 추적하는 등의 작업을 할 수 있다.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.03.03
  • 워드파일 디지털 논리회로와 광센서
    광센서 응용회로 CdS셀과 논리회로를 이용하면 일정 정도의 조도를 기준으로 동작하는 스위치를 만들 수 있다. 아래 그림과 같은 회로를 구성한다. ... 테브냉 등가 회로를 이용하여 가변저항 값의 이론값을 구하였다. 이 때 CdS의 저항값은 실험 1에서 빛을 비추었을 때의 저항값을 사용하였다. ... 디지털 논리회로와 광센서 메인 레포트 실험 목표 CdS 광센서의 기본적인 특성을 알아본다. DAC를 이용하여 조도계를 만들어 본다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서10
    학 부: 전자공학부 제출일: 과목명: 논리회로실험 교수명: 조교명: 분 반화하는 회로장치. 디지털 음향기기에 반드시 사용되고 있다. ... 입력한 아날로그 신호를 2n의 단계의 수치nverter 등이 있 다. ... 차별 안하기: 인종, 종교, 성별, 장애, 연령, 출신국 등의 요인에 관계없이 모든 사람을 공평하게 대한다. 9.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 울산대학교 디지털실험결과22 디지털 논리회로의 전압특성과 지연시간
    이번 실험을 통해서 논리 1과 논리 0을 사용하여 아날로그 신호가 아닌 디지털 논리 회로를 구성함으로 부의 논리를 구하는 실험을 조금이나마 이해할 수 있었으며, noise margin은 ... 검토 및 토의 이번 실험의 목적은 2진수를 전압으로 처리하는 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 회로의 특성을 파악하는 실험이다. ... 디지털 논리회로의 전압특성과 지연시간 학번 : 이름 : 디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간 학번 : 이름 : 1.
    리포트 | 2페이지 | 2,000원 | 등록일 2021.03.20
  • 한글파일 서울시립대 전자전기설계2(전전설2) 6주차 사전보고서
    조합회로와 순차회로의 차이점에 대하여 조사하시오. 조합회로는 출력 신호가 입력 신호에 의해서만 결정되며 논리곱, 논리합, 논리부정 등의 기본 논리소자의 조합으로 만들어진다. ... 순차회로는 출력 신호가 입력 신호뿐만 아니라 이전 상태의 논리값에 의해 결정되며, 이전 상태의 논리값을 저장하는 기억소자가 필요하다. ... S R Q Q’ SR래치의 타이밍도 위의 SR래치 회로도와 타이밍도를 살펴보면 처음에 S=1, R=0을 입력하면 Q=1, Q’=0이 출력된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.16
  • 한글파일 서울대학교 일반대학원 전기정보공학부 연구계획서
    물리전자공학, 논리회로설계, 전자기학1,2, 통신공학, 데이터통신, 데이터베이스, 리눅스시스템보안, 디지털신호처리 등의 수업을 이수했습니다. ... 학부, 대학원 이수 전공 과목 중 관심과목 저는 OO대학교 전기전자공학부에 재학을 할 때 직류회로이론, 공학수학1,2, 공학문서작성, 기초회로실험, 교류회로이론, 양자전자공학기초, ... 및 정밀 스케일링 연구, IoT 애플리케이션을 위한 가시광선의 효율적인 안내 및 방출을 위한 모놀리식으로 제작된 도파관 연구, 위상 배열 안테나 임피던스 부정합에 따른 실시간 지연회로
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.08
  • 한글파일 [논리회로실험] 실험7. Shift Register 예비보고서
    회로 결선도 6. 참고 네이버 지식백과 wikipedia 논리회로 강의노트 ... 학 부: 전자공학과 제출일: 과목명: 논리회로실험 교수명: 학 번: 성 명: 실험 7. Shift Register 1. ... 차별 안하기: 인종, 종교, 성별, 장애, 연령, 출신국 등의 요인에 관계없이 모든 사람을 공평하게 대한다. 9.
    리포트 | 7페이지 | 1,500원 | 등록일 2023.05.27
  • 한글파일 멀티플랙서와 디멀티플랙서 레포트
    논리등가회로를 통해 먼저 MUX를 설계하였는데, 판의 입력은 최대 5개까지고 입력해야하는 입력값은 6개나 되어서 I _{0} ,I _{1} ,I _{2} ,I _{3}의 입력은 입력단자에 ... 1 0 1 1 1 1 0 1 1 1 1 0 (3)74153IC( 4 TIMES 1 MUX)을 이용하여 조합논리 함수 Y(A,B,C)= sum _{} ^{} m(1,3,5,6)를 구현하고 ... 즉, 1 TIMES 4,`1 TIMES 8,`1 TIMES 16 등, 하지만 출력(M)과 선택기(N)와의 관계는 항상 M= 2 ^{N}이 성립한다. 3. 실험 ?
    리포트 | 4페이지 | 1,000원 | 등록일 2019.06.21
  • 한글파일 학점은행제(토론)_디지텔공학개론, 마이크로프로세서, 시스템프로그래밍, 자료구조, 전자계산기구조, 컴퓨터시스템
    첫 번째 TTL 은 개인용 컴퓨터나 워드프로 세서 등에 이용이 될 정도로 원리가 비교적 간단하고 취급하기 쉬운 양극형 논리 소자의 회로로써 입력에 따른 어떠한 조건에 따른 산출의 신호가 ... ECL은 고속회로인 슈퍼 컴퓨터 신호 처리기에 사용이 되는 것으로써 NOR 게이트의 기본 회로가 있으며 TTL과 마찬가지고 양극형 트랜지스터이지만 사용이 많이되는 은 아닌다. ... 이는 논리 연산장치로써 산술연산과 논리 연산을 수행하는 장치라고 할 수 있다. 주로 사칙연산의 산술연산 그리고 참과 거짓을 판결하는 논리연산을 수행을 한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.04.23
  • 한글파일 예비보고서(7 가산기)
    관련이론 디코더, 인 코더, 코드 변환기, 멀티플렉서, 디멀티플렉서 및 패리티 생성기/검사기 등 여러 종류의 고정기능 조합논리회로 중에서 지난 실험에서는 멀티플렉서에 대해서 했으며, ... B) Cin ▲ 그림 5 반가산기로 구현한 전가산기 그림 4(b)는 가산기에 대한 논리회로이다. ... 전가산기 논리회로는 두 개의 반가산기가 그림 5(a)의 블록 선도와 같이 각각의 캐리 출력이 OR되어 전가산기를 구성하고 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 한글파일 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    두 구문 모두 조합논리회로와 순차논리회로의 설계, 설계된 회로의 시뮬레이션을 위한 테스트벤치의 작성에 사용된다. always : 순차회로는 입력뿐만 아니라 현재 상태에 따라 값이 다르게 ... 통해 여러 논리회로를 설정할 수 있다. 2. ... 또한 always는 시뮬레이션 시간의 진행에 관련된 제어가 포함되어야 무한 루프, 교착 상태가 발생하기 않게 된다. initial : 논리회로의 설계와 설계된 회로의 시뮬레이션을 위해
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • 한글파일 건국대 전기전자공학부 편입학 학업계획서
    저는 반도체공정, 영상신호처리, 제어시스템, 직류여자기기, 논리회로, 회로이론, 교류회로이론, 랜덤프로세스, 스마트그리드, 전력용반도체, 데이터통신, 태양광공학, 소프트웨어공학, 알고리즘 ... , 반도체공학, 전력전자, 제어공학 등의 수업을 들을 것입니다. ... 석사 졸업을 하고 인더스트리 쪽으로 가게 된다면 OOO 개발 쪽으로 진로를 정할 것이며 박사 학위까지 딴다고 한다면 포닥을 OOOO, OOO 등에서 하고 대학에서 교수가 될 계획입니다
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.11.14
  • 한글파일 PLC, 시퀀스 제어방식(자기유지회로,인터록회로,타이머) 실험 보고서
    간단한 논리회로(AND, OR, NOT, NOR, NAND등)로부터, 거의 모든 FA공정제어 분야에서, 급속한 속도로 PLC가 기존의 릴레이 제어장치를 대체되어 다양한어플리케이션에 ... 실험 기본 이론 공장자동화(FA : Factory automation)의 규모와 수준이 확장됨에 따라서, FA공정제어장치에 의해서 이루어지는 기능(입력과 출력 신호 사이의 논리적 연결기능 ... 자기유지회로(self holding circuit) : 계전기 자신의 접점에 의하여 동작회로를 구성하고, 스스로 동작을 유지하는 회로이며, 복귀 신호를 주어야 비로소 복귀하는 회로
    리포트 | 9페이지 | 3,000원 | 등록일 2022.06.27 | 수정일 2022.06.28
  • 한글파일 시립대 전전설2 Velilog 결과리포트 6주차
    순차 조합 회로 중에서도 LATCH, D FlipFlop, J-K FlipFlop, S-R FlipFlop 등의 지식을 이용해서 데이터 전송회로, 직렬입력/병렬출력 회로를 설계해보고 ... 순차 조합 회로 중에서도 LATCH, D FlipFlop, J-K FlipFlop, S-R FlipFlop 등의 지식을 이용해서 데이터 전송회로, 직렬입력/병렬출력 회로 를 설계해보고 ... J,K가 1인 조건에서 FlipFlop은 Clk의 신호에 대하여 항상 반전된 값을 출력해낸다. (JK FlipFliop은 SR FlipFlop의 단점을 보완한 회로이다) ?
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    IT CookBook, 디지털 논리회로. 조합논리회로. 4) ㈜한백전자. HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 소리나 경고음 등을 표현할 수 있다. - 음성 주파수 대의 펄스 신호를 입력하여, 해당 주파수의 소리를 출력하게 한다. - PIEZO 주파수에 따른 음계는 다음 표와 같다. 2. ... 이진화 십진법을 사용할 경우 각 자리 별로 하나씩 간단한 변환 회로를 만들어서 7-Segment 표시 장치 등에 바로 연결할 수 있기 때문에 전체적인 구현을 간편하게 할 수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 연세대 전기전자공학부 편입학 학업계획서
    , 제어공학, 전력전자, 전력공학, 신호및시스템, 전기전자 선형대수 등 다양한 부문의 수업을 듣고 졸업할 것입니다. ... 저는 연세대학교 공과대학 전기전자공학부에서 전자회로1,2, 전자기학1,2, 바이오전기전자기초, 기초현대물리, 바이오전기전자실험, 기초회로이론, 디지털논리회로, 전기기기및제어, 확률과랜덤변수 ... 학업 이외 대학시절의 다양한 활동(리더십, 봉사, 동아리, 연구, 취미 등)을 기술하고 그 경험이 지원하고자 하는 전공에 어떤 의미를 갖는지 기술하시오.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2022.11.03
  • 한글파일 슈미트 트리거 레포트
    비교 회로 등(2) 슈미트 트리거의 특성 슈미트 트리거의 회로는 대부분 아래의 아래 그림처럼 구성된다. ... `이하`가 될 때, - 출력이, 갑자기 논리 전압 레벨 `0` 또는 `1`으로 변하게하여, - 그 결과, 레벨 크기에 대응하는 시간 주기를 갖는 펄스폭을 지닌 구형파 생성 ... (히스테리시스는 어떠한 자극을 주었을때 반응하기까지 걸리는 시간을 말함.)​우리가 신호를 인가하면 슈미트 트리거는 바로 반응하지 않고 있다가, 특정 전압 (UTP/LTP)에 도달하면
    리포트 | 8페이지 | 1,500원 | 등록일 2021.07.28
  • 한글파일 디지털회로실험 예비보고서1
    그리고 이를 제거한 회로를 debouncer라고 한다. 3)로직프로브에 대해 조사하라 신호를 검출할 때 쓰이는 디지털회로 실험도구이며, TTL 소자들(AND 게이트나 OR 게이트 등 ... 이런신호 검출을 위해서는 펄스의 폭을 넓혀주는 회로를 추가하게된다. 참고문헌 : 디지털회로실험, 예윤해 외2인, GS인터비전, p.1~9 3. ... 스위치가 Down 위치일 때, 즉 스위치가 눌렸을 때를 논리 1, 스위치가 UP일때를 논리0 입력으로 정의하여 위 회로를 스위치를 사용하여 디지털값을 생성하는 회로로 사용하고자 한다
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.25
  • 한글파일 논리회로실험 반가산기 전가산기
    입력신호 전압의 덧셈을 출력하는 디지털 회로도 있는데 이를 가산회로라고도 부른다. (2) 반가산기 반가산기는 컴퓨터 내에서 2진 숫자를 덧셈하기 위해 사용되는 논리회로의 일종이다. ... 실험시간에는 이번에 작성하였던 반가산기를 이용해 전가산기를 작동하게 할 텐데 반가산기를 2개 작성하는 등 더욱더 복잡해지기 때문에 쉽지않은 실험이 될 것 같다. ... 논리회로설계 실험 예비보고서 #2 실험 2. 반가산기 & 전가산기 1.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:28 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기