• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

A+ 디지털 시스템 실험 최종 프로젝트 자판기 <vending machine>, PPT포함

*창*
개인인증판매자스토어
최초 등록일
2020.01.07
최종 저작일
2016.12
9페이지/파일확장자 압축파일
가격 10,000원 할인쿠폰받기
다운로드
장바구니

소개글

"A+ 디지털 시스템 실험 최종 프로젝트 자판기 "에 대한 내용입니다.

목차

1. 실험제목
2. 실험목표
3. 실험결과
4. 요약

본문내용

실험제목
최종 프로젝트 – Vending Machine

실험목표
① 자판기를 설계한다.
② FPGA 보드에 올려 동작을 검증한다.

실험결과
1. 프로젝트 설명 및 구현 방법
1) 모델, flow Chart, state diagram
자판기 프로젝트는 실제 자판기처럼 동작하게 하였다. 사용자가 임의의 금액을 투입하고 음료를 선택하면 음료가 출력되고 남은 금액이 반환된다. 모델과 Flow Chart는 [그림 1] 과 같다.
Verilog로 자판기를 구현하기 전에 먼저 State Diagram을 그리고 구현하고자 하는 동작을 확인해 보는 과정을 거쳤다. State Diagram은 [그림 2]와 같다.
2) 구조도
Flow Chart와 State Diagram으로 [그림 3]과 같이 전체적인 구조도를 만들었다. Quartus의 RTL을 이용한 구체적인 구조도는 [그림 4]와 같다.
3) Input 및 output
자판기는 총 6개의 Module로 구성되며 Top Module은 Vending Machine Module이 된다. Vending Machine Module의 입출력은 다음과 같다.
① Input
∙ RST, CLK, Change_sel : 1bit
∙ Coin [2:0] : 3bit, Coin[0]=50원, Coin[1]=100원, Coin[2]=500원
∙ Coffee [2:0] : 3bit, Coffee[0]=150원, Coffee[1]=350원, Coffee[2]=500원
② Output
∙ oS_COM [7:0] 8bit
∙ oS_ENS [6:0] : 7bit

참고 자료

없음
*창*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
A+ 디지털 시스템 실험 최종 프로젝트 자판기 &lt;vending machine&gt;, PPT포함
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업