• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[전자계열 (VHDL)] STOP WATCH (VHDL)

*주*
최초 등록일
2003.06.26
최종 저작일
2003.06
8페이지/파일확장자 압축파일
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

시뮬단계까지 작업.. 하드웨어 디버깅은 하지 않았으며
스톱워치의 시간 간격은 실시간과 틀림..

목차

전체 소스
- 7개 BLOCK
- 1개 TOP 부분

레포트
- 각 BLOCK 설명
- 시뮬레이션 그림 첨부

<b>본 자료의 레포트는 워디안이나 한글 2002 이상의 버전에서만 확인이 가능합니다.
한글 97 이하의 버전을 보유하고 계신 회원님들께서는 구매에 앞서 참고하시기 바랍니다.
<해피캠퍼스 자료관리팀></b>

본문내용

- Key Check
• clock과 reset을 제외하고 외부에서 들어오는 모든 신호( start, hour_up, hour_down, min_up, min_down )를 이 블록을 거치게 함
• 5~10 clock이 한번의 입력이 되게 하고 10 clock 이상이 들어왔을 시에는 10 clock 단위마다 한번의 입력으로 받아들여지게 설계
ex> 27 clock => 5 clock, 15 clock, 25 clock => 3번의 입력

참고 자료

없음

압축파일 내 파일목록

key_check.vhd
led_on.vhd
midterm_rev.hwp
output.vhd
setting.vhd
state_m.vhd
timer.vhd
timer_seg.vhd
top.scf
top.vhd

자료후기(1)

*주*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
[전자계열 (VHDL)] STOP WATCH (VHDL)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업