• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(415)
  • 리포트(373)
  • 자기소개서(17)
  • 논문(15)
  • 시험자료(7)
  • 방송통신대(3)

바로가기

uart 독후감 - uart 관련 독후감 3건 제공

"uart" 검색결과 1-20 / 415건

  • 워드파일 SoC 보고서 - 2.비동기통신(UART)
    UART C. 설계 2. 소스코드 및 코드 설명 -------------------------- p.10 A. uart_tx B. uart_rx C. tb_uart 3. ... 소스코드 및 코드 설명 uart_tx uart_rx tb_uart data_latch 설계 시뮬레이션 결과 및 설명 tb_uart 시뮬레이션 nRst nRst는 초기에 0을 주고 이후에 ... 실습보드 적용 결과 ----------------------------- p.32 A. uart_tx B. uart_rx C. uart_echo back 5.
    리포트 | 40페이지 | 2,500원 | 등록일 2021.09.23
  • 파일확장자 RENESAS 씨리얼통신(UART, I2C, SPI) 예제Code
    "RENESAS 씨리얼통신(UART, I2C, SPI) 예제Code"에 대한 내용입니다.
    리포트 | 1008페이지 | 5,000원 | 등록일 2023.11.09
  • 워드파일 Thumb Instruction / Power Saving in Cortex M0 / Interrupt vector 구조 / Interrupt priority / SPI interface / UART interface 조사
    UART는 보통 마이크로 컨트롤러에도 포함되어 있다. 듀얼 UART, 곧 DUART는 두 개의 UART를 하나의 칩에 합친 것이다. ... UART의 U는 범용을 가리키는데 이는 자료 형태나 전송 속도를 직접 구성할 수 있고 실제 전기 신호 수준과 방식이 일반적으로 UART 바깥의 특정한 드라이버 회로를 통해 관리를 받는다는 ... 수많은 현대의 집적 회로(IC)는 동기화 통신도 지원하는 UART와 함께한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2020.04.15
  • 한글파일 [부산대학교][전기공학과][어드벤처디자인] 4장 아두이노 통신 (UART, SPI, 블루투스) (4주차 예비보고서) A+
    유선 직렬 통신(Serial Communication)인 UART, SPI, I2C 의 동작원리를 서술하시오. 1) UART UART 통신은 대표적인 비동기식 직렬통신 기술이다. ... 어드벤처디자인 예비보고서 아두이노 통신 (UART, SPI, 블루투스) 학과 : 전기공학과 학번 : 이름 : 1. ... RX와 RX, Gnd가 연결되어야하며, 비동기통신이기에 두 개의 baud rate를 일치시켜주어야한다. 2) SPI 동기식 직력통신 기술인 SPI통신은 UART와 같은 전이중 통신방식이다
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.25
  • 파일확장자 UART TX verilog 코드
    -UART TX specification 8 bits Data , 1 stop bits 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의) 16 byte FIFO ... UART TX에 대한 verilog 코드, testbench 코드 및 사용 설명서입니다. ... Mealy state machine을 이용해 설계한 UART TX에 대한 코드입니다. Altera DE2 115 보드에 올려 동작확인한 synthesizable 코드입니다.
    리포트 | 1,000원 | 등록일 2017.05.28
  • 한글파일 UART
    목 10 조 전자공학실험 2 (#6, UART) #목 10조 UART 1.Title UART 2.Name 3.Abstract EMPOSII Board를 이용한 UART 실습 4.Background ... UART에 대해 1.1. UART 특징 RS232통신이라 불리는 16550 프로토콜을 통상 UART로 부른다. ... 지원하는 BTUART(Bluetooth UART), 표준 STUART(Standard UART)로 3개의 UART와 Hardware UART(HWUART)를 가진다. 1.
    리포트 | 31페이지 | 3,000원 | 등록일 2010.10.17
  • 한글파일 UART 결과보고서
    결선 방법은 전과 달리 UART를 이용하기 때문에 약간 다르다. MCU 모듈 포트 E의 PE0는 UART 모듈의 RX에 연결하고, PE1은 UART 모듈의 TX에 연결한다. ... 실험(4) 결과보고서 (8장 UART) 1. ... 실험 결과 1) UART로 Hello 보내기(실습11) 실습11은 UART를 이용하여 "Hello World"를 PC로 전송하는 실습이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2015.11.09
  • 한글파일 ATmega128_UART 통신 보고서
    Asynchronous serial Receiver and Transmitter) 범용 동기/비동기 수신기 및 송신기로 RS232, RS485, RS422 등의 통신에 사용하는 UART
    리포트 | 8페이지 | 1,000원 | 등록일 2017.10.30 | 수정일 2020.07.04
  • 파일확장자 UART verilog 코드 (RX & TX 합본)
    -UART specification 8 bits Data , 1 stop bits 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의) Even/Odd parity ... UART TX및 RX에 대한 verilog 코드, testbench 코드 및 모듈 설명서입니다. ... Mealy state machine을 이용해 설계한 UART 모듈에 대한 코드입니다. Altera DE2 115 보드에 올려 동작확인한 synthesizable 코드입니다.
    리포트 | 2,000원 | 등록일 2017.05.31 | 수정일 2024.02.02
  • 파일확장자 Arm ASSEMBLY UART
    Assembly로 ARM의 UART를 제어한다. ... ※S3C41OB UART• S3C4510BUART unit provides two independent asynchronous serial I/O ports. • The UART can ... RS232는 외부 주변 장치들과 직렬 데이터로 통신하기여 Uart를 사용하여 병렬 데이터를 직렬 데이터로 바꾸어 주며 외부 주변 장치들을 제어하는 기능을 한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2011.12.29 | 수정일 2017.12.04
  • 한글파일 11.1(UART)
    실습 제목UART2. ... 실습 목표verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다.테스트벤치 파일에서 bit_in의 타이밍을 시뮬레이션에 나타내 보도록 한다.3.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 한글파일 11.15(UART)
    실습 제목 UART 2. 실습 목표 verilog HDL 코드를 작성하여 두 개의 테스트벤치 파일을 만들어 UART를 설정한다. ... ,sbuf); end end initial begin #10000; force oc8051_uart1.rxd=1'b0; #10000;(추가된 부분) release oc8051_uart1 ... Disscussion 이번주는 저번 시간과 마찬가지로 UART에 대해 실습을 하였다.
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 한글파일 10.25(UART)
    실습 제목 UART 2. 실습 목표 verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다. ... 마이크로컨트롤러에는 적어도 하나의 UART가 들어간다는 사실을 알고 있는데 그만큼 중요하기 때문에 더욱 공부를 해야겠다는 생각이 들었다. ... /src/includes/oc8051_defines.v" module tb_oc8051_uart__0; reg rst; reg clk; reg wr; reg wr_bit; reg [
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.15
  • 한글파일 UART, AD 컨버터 예비보고서
    [제 8장 : UART] [실험이론] ① UART와 RS232 개요 (1) UART(Universal Asynchronous Receiver/Transmitter) : - universal ... */ return data; } int main(){ 1) UART0 로 한 문자를 전송한다. 2) UART0 로 한 문자를 받아온다. putch(unsigned char data) ... 함수 : Character Data를 인수로 받아 이를 UART를 통해 보내는 기능을 담당한다. getch() 함수 : putch와는 반대로 UART를 통해 데이터를 받아오는 기능을
    리포트 | 13페이지 | 1,500원 | 등록일 2015.11.23
  • 워드파일 FPGA를 이용한 UART 구현
    UART 데이터 수신방식 PAGEREF _Toc300923159 \h 14 HYPERLINK \l "_Toc300923160" 그림 5. ... FPGA의 UART 구성도 PAGEREF _Toc300923157 \h 13 HYPERLINK \l "_Toc300923158" 그림 3. ... FPGA_UART_MODULE PAGEREF _Toc300923160 \h 15 HYPERLINK \l "_Toc300923161" 그림 6.
    리포트 | 16페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 한글파일 항공대 데이터통신 UART보고서
    데이터 통신 - UART 1. ... UARTSend(teststr, sizeof(teststr)); // 동일하게 A의 크기를 받아서 UART를 통하여 전송한다. while(1){ // 무한으로 UART를 통해서 입력받고 ... with UNBUFFERED MODE\r\n"); // cpu로 uart를 제어하여 문자열을 출력하는 명령을 보낸다.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.11.29 | 수정일 2014.12.04
  • 한글파일 기초전자공학실험2UART 실습
    기초전자공학 실험2 1.Title UART 실습 2.Name 3.Abstract ■ 컴퓨터와 8051 보드와의 serial 통신 원리를 이해하고 예제 소스를 분석하여
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.09
  • 한글파일 5주차 예비보고서(UART와 AD컨버터)
    UART ? ... PC에는 16550이라는 이름의 UART IC가 사용되는데 이처럼 UART가 독립된 제품으로 판매되기도 하지만 거의 대부분의 마이크로컨트롤러는 내부에 하나 이상의 UART를 가지고 ... A/D converter 실험(4) 4주차 예비보고서(타이머와 PWM) A886042 유동현 실험(4) 4주차 예비보고서(UART와 A/D converter) UART(Universal
    리포트 | 16페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 파일확장자 실험10. ATmega1281의 UART를 이용한 직렬통신
    직럴 포트를 이용하여 PC와 통신을 하기위해서는 하이퍼터미널과 같이 동작하는 직렬통신용 프로그램이 필요하다. 직렬 통신용 프로그램을 사용하기 위해서는 통신 속도와 사용할 직렬 포트 번호 등의 동작 환경을 미리 정확하게 설정해야 한다.하이퍼터미널은 사용이 좀 복잡한 편이..
    리포트 | 36페이지 | 3,000원 | 등록일 2014.08.30
  • 한글파일 5주차 결과보고서(UART와 AD컨버터)
    UART ? ... PC에는 16550이라는 이름의 UART IC가 사용되는데 이처럼 UART가 독립된 제품으로 판매되기도 하지만 거의 대부분의 마이크로컨트롤러는 내부에 하나 이상의 UART를 가지고 ... 가능한 타이머 이외에 UART용의 전용 카운 터가 따로 있다. 1.2.6 UART의 타이밍 : 구현 방법에 따라 조금씩 다르지만 많은 경우 UART는 사용자가 지정한 통신 비트율보다
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업