• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(289)
  • 리포트(265)
  • 시험자료(13)
  • 논문(6)
  • 서식(2)
  • 방송통신대(2)
  • 자기소개서(1)

"ls -al" 검색결과 21-40 / 289건

  • 워드파일 [유전학 레포트] 유전자 복구 NHEJ와 HDR
    DNA Repair (Amst). 2008 Feb 1;7(2):170-6.. Hsu GW, Ober M, Carell T, Beese LS. ... : Mouret et al., 2006. ... Friedberg,2006; Lindahl, 1993; Sander et al.,2005: Sears and Turchi, 2012: Mouret et al., 2006.
    리포트 | 13페이지 | 1,500원 | 등록일 2023.03.14
  • 워드파일 서강대학교 디지털논리회로실험_2 Digital logic gate
    다른 예로, 74LS00의 경우 4개의 2-input NAND gate를 포함하고 있는데 이 중에서 두 개의 NAND gate만을 사용했다면 어떻게 처리하는 것이 좋겠는가? ... 이론 logic signals and gates 디지털 논리회로에서 bit 표현에 사용되는 논리값 0(low)과 1(high)은 활용되는 체계에 따라 여러 형태의 물리적인 양으로 표현된다 ... 그림 SEQ 그림 \* ARABIC3 그리고 이번 실험에서 사용한 74LS00의 데이터시트는 아래와 같다.
    리포트 | 21페이지 | 1,000원 | 등록일 2020.08.12
  • 파일확장자 두경부 편평세포암종에서의 HuR 발현
    ar mHNAs that contain AU- rich elements in their 3’ - untranslated region , To test the significance ... study s uggests that overexpression of HuR in HNSCCs may be part of a regula tory pathway tha t co ntro ls ... , we have investigated HuH expression from 32 benign epithelial lesions , 14 prema lignant epitheli al
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 경상대 유닉스 기말 족보~A+
    echo $0 -> signals echo $# -> 4 echo $2 -> b echo $0$$ ->signalsPID 11. ... 1. ls ?l A 의 결과가 다음과 같았다. ... echo $0 echo $# echo $2 echo $0$$ 일 때 $sh signals a b c d 의 결과는?
    시험자료 | 2페이지 | 5,000원 | 등록일 2019.06.24 | 수정일 2022.08.21
  • 한글파일 사회 문화적 여성건강 문제
    보건복지부 2020년 10월 보도자료(http://www.mohw.go.kr/front_new/al/sal/0301ls.jsp? ... 사회 문화적 여성건강 문제 - 낙태 - 과목 여성건강간호학 담당 교수 학년 / 반 학번 이름 1. ... 인공임신중절 실태조사 보고서 / 이소영 외 / 보건복지부-출산정책과 / 2019.04.10.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.06.19 | 수정일 2022.07.28
  • 한글파일 조선대 금속재료공정(3학년 2학기)3차 레포트-최신 경량화 신소재 알루미늄합금에 대한 특징 고찰
    -알루미늄(Al)은 비중이 2.7로 철보다 가벼움 -전기가 잘 통해 열의 전도성이 구리 다음으로 양호 -신소재로서 가볍고 강도가 높은 합금 -가공성이 좋아 판재, 봉, 선 등으로 가공해 ... sm=tab_hty.top&where=image&query=ls%EC%A0%84%EC%84%A0+%EC%95%8C%EB%A3%A8%EB%AF%B8%EB%8A%84&oquery=ls% ... 화재 안전 문제 등으로 유리섬유강화플라스틱 소재 선박 생산에 대한 규제가 강화되면서 알루미늄 선박에 대한 기대가 높아짐과 동시에 수요도 늘고 있다. ④ 전선에서의 알루미늄합금 활용 LS전선은
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.14 | 수정일 2021.04.18
  • 한글파일 디지털회로실험 예비보고서1
    TTL LS Low-power Schottky TTL F FAST(Fairchild Advanced Schottky TTL) ALS Advanced Low-power Schottky ... (VCC-5V, 게이트 입출력전압은 GND의 전압을 기준으로 함) 정리 ex)SN74LS00N - Texas instrument에서 제조한(SN) 상용 TTL(74) 4개의 2-입력 ... 사용 계기 및 부품 - 전원공급기 : -1- - 오실로스코프 : -1- - 브레드보드 : -1- - 로직프로브 : -1- - 스위치 : -2- - LED : -2- - 저항 : 10kΩ
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.25
  • 파일확장자 계대배양에 따른 불면화 사람 구강각화세포의 분화변화
    PV- linkecl oral ca rcinogenes is , it is important to pursue the differenti ati al change of IHOK cul ... and unchangable in both cul tured cells There was no tumorogenecity inclucecl by both culturecl cel ls ... It is well kwon that HPV have been strongly linked to progression of or al squamous cell carcinoma‘
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 파워포인트파일 리눅스에서 mrtg 설치 및 활용
    / mrtg ls -al - mrtg 관련파일을 복사 03 설 치 방 법 - mrtg 설정 (7) - 18 - 04 mrtg 구동 - mrtg 서비스 구동 - 19 - crontab ... 04 mrtg 구동 - mrtg 화면 확인 - 21 - 04 mrtg 구동 - ftp 파일전송 - 22 - 04 mrtg 구동 - 트래픽 확인 - 23 - 04 mrtg 구동 - ... 03 설 치 방 법 - 패키지 파일 설치 ( snmp ) #yum –y install net- snmp - utils net- snmp - 5 - 03 설 치 방 법 - snmp
    리포트 | 34페이지 | 5,000원 | 등록일 2022.05.20 | 수정일 2022.05.23
  • 한글파일 조선대 빅데이터분석 중간고사 정리 최종 A+ 맞은 자료
    al로 확인하기) 2) 맨 아래에 한 줄띄고 export PATH=$PATH:/home/hadoop/hadoop/bin/:. ... ls는 파일목록을 보고 lsr은 현재 디렉토리의 하위 디렉토리 정보까지 출력 2) du, dus ? ... 데이터노드가 전송한 블록리포트를 메모리에 로딩된 파일 시스템 이미지에 적용한다. * HDFS 명령어는 (hadoop fs 명령어)와 같이 사용한다. 1) ls, lsr ?
    시험자료 | 4페이지 | 1,500원 | 등록일 2020.06.28
  • 엑셀파일 30개 글로벌 호텔 그룹 각 부서별 대표 담당자 연락처. 글로벌 호텔 사업 제안(List of Chief manager of Global Hotel chains)
    " sls@shangri-la.com"https://www.wyndhamhotels.com/wyndham United StatesMarriotWorldwideCustomer servicecustomer.care ... CanadaFour Seasons Hotels and ResortsAbu Dhabi at Al Maryah Islandpp.abudhabi@fourseasons.comwww.fourseasons.com ... and Africa"emeadevelopment@radissonhotels.comhttps://www.radissonhotelgroup.com/development_regional-contacts
    리포트 | 4페이지 | 5,900원 | 등록일 2019.07.13
  • 한글파일 정보처리기사 필기 최종정리본 2021//(신유형)
    -al | wc -l : ls 명령어의 라인수를 카운트 tar : 파일을 묶어줌 find : 파일을 검색 find 디렉토리 파일명 -size 파일크기 : 파일 크기로 검색 grep ... : 파일에 포함된 특정 단어를 검색 fork() : 자식 프로세스 생성 chmod 옵션 모드 파일 : 파일or디렉토리 사용권한 변경 UNIX ls - 디렉토리나 파일목록 출력 cat ... 데이트들이 불필요하게 중복되어 발생하는 곤란 현상=anomal수를 카운트 alias : 별칭 지어줌 unalias : 별칭 회수함 | : 명령의 결과를 다음 명령 입력으로 넘긴다. ls
    시험자료 | 79페이지 | 3,000원 | 등록일 2021.03.12
  • 파워포인트파일 설비관통부 내화충진재 시스템
    1200L*100W 1400 * 200 A-2 4.1% 방재시험연구원 2021-12-06 A2018-0779 8 BUS- DUCT SYSTEM 수직 LS 전선 C-2       9 ... -05-29 A2019-0338-00 15 수평 C-2   건설화재에너지 연구원 2022-03-07 CFEL-K-2019-00093 16 수직 광명전기 AL-AL 3stack (5000A ... 연구원 2022-01-31 CFEL-K-2019-00064 14 수직  HLB 파워 AL-AL 3stack (6300A) 950L*250W C-2 52.3% 방재시험연구원 2022
    리포트 | 45페이지 | 2,000원 | 등록일 2020.11.12
  • 한글파일 최근 개정된 보건의료법규 개정안 분석 보고서 [보건의료법규 A+ 과제]
    /sal0301vw.jsp? ... 다만, 정당하거나 합리적인 이유에 따른 지체는 최대 1개월까지 가능하다. ◎ 환자안전 보고체ls) 국제 인증 Jommission international(JCI)에서 발표한 환자 안전목표에 ... 환자안전보건법” https://www.law.go.kr/법령/환자안전법시행규칙 . ② URL] 보건복지부 “환자안전법 시행규칙” http://www.mohw.go.kr/react/al
    리포트 | 8페이지 | 2,500원 | 등록일 2021.12.13 | 수정일 2022.02.28
  • 워드파일 Cell viability assay 실험 및 고찰
    많은 약물의 clinical indication으로 TI값이 사용되고 현대의 pharmaceutical toxicology에서는 TI값이 동물의 lethal dose로 정의되기도 한다 ... 각 화합물을 농도에 맞게 희석을 해 준다. → e.g> 1mM 화합물 1μl 와 cellls and media 99μl가 섞이게 되면 1/100으로 희석이 되게 하여 최종 농도는 10μM이 ... 이 방법은 대사 과정이 온전한 세포의 미토콘드리아 내의 탈수소효소가 노란색 수용성 tetrazoliumsalt[3-4,5-dimethylthiazol-2-yl]-2-5-diphenyltetrazolium
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.12
  • 워드파일 일반물리학실험 휘스톤브릿지 결과레포트
    그림과 같이 표준 코일 Ls를 써서 Hyperlink "https://terms.naver.com/entry.nhn? ... R1-2.341kΩ R2-1.200kΩ Rp-1.033kΩ 2, 회로에서 검류계에 흐르는 전류가 0일 때, 회로분석을 통하여 예측한 미지 저항 Rx의 크기는? ... {\displaystyle Q={\frac {\omega L}{R}}} 알 수 없는 인덕터를 평가하는 데al_induction" \o "상호 유도" 상호 유도 를 발생시킵니다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.09.11 | 수정일 2023.09.13
  • 한글파일 유닉스에 대한 구조와 특징에 대해 설명하시오
    제거합니다. getpid : 자신의 프로세스 아이디를 얻습니다. getppid : 부모 프로세스 아이디를 얻습니다. & : 백그라운드 처리를 위해 명령의 끝에 입력합니다. signal ... fsck : 파일 시스템을 검사하고 보수합니다. mkdir : 디렉터리를 생성합니다. chdir : 현재 사용할 디렉터리 위치를 변경합니다. rmdir : 디렉터리를 삭제합니다. ls ... 멀티유저환경 멀티태스킹와 같은 기능이 가능함으로써 멀티유저(Multi-User)시스템으로 쓰여 질수 있는 것이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.05.18
  • 워드파일 [자연과학] 기상정보학 핵심 요약 및 과제물
    (x): print(x**2) my_cal(2) from subprocess import call subprocess.check_call(["ls", "-l"]) import urllib ... os.path.split(os.getcwd()) import sys dir(sys) sys.version print(sys.argv) # x = raw_input() def my_cal ... -1’, ’2000-12-31’ ), latitude = ( , ), longit 2차 다항식을 의미함. ## p1.plot(x값, y값, ‘k-‘ (검정색 실선), ‘k—‘ (검정색
    리포트 | 44페이지 | 1,000원 | 등록일 2020.08.01
  • 워드파일 정실, 정보통신기초설계실습2 11주차 결과보고서 인하대
    실험준비 장비 세팅 Function generator : pulse signal SN74LS74 칩셋 2개, Bread board, resister(560Ω) 4개, LED diod ... 그림3은 4-bit right shift register의 동작을 타이밍도로 나타낸 것이다. ... 아래의 표1은 4-bit right shift register의 동작을 진리표로 나타낸 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 [성결대학교 A+ 레포트] 서버프로그래밍 과제 권한 관리 명령어 정리(chgrp,chown,chmod),
    /root 디렉토리에서 ls- al로 파일이나 디렉토리를 숨김 파일 포함하여 자세히 보자. 아래의 file1의 정보를 분석하자. ... #useradd -c 홍길동 -e 2009-03-29 -d /home/xfile -u 601 -s /bin/bash -p itbank x 위치 : /home -> /usr (-b ... 옵션 : 해당 사용자의 UID 지정 (600) -s 옵션 : 해당 사용자의 기본사용쉘을 지정 (/bin/bash) 실습2 ) -c, -e, -d, -u, -s, -p 옵션 알아보기
    리포트 | 22페이지 | 20,000원 | 등록일 2023.07.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업