• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(289)
  • 리포트(265)
  • 시험자료(13)
  • 논문(6)
  • 서식(2)
  • 방송통신대(2)
  • 자기소개서(1)

"ls -al" 검색결과 121-140 / 289건

  • 한글파일 리눅스 명령어의 종류및 설명 (파일관리,프로세스관리,시스템정보관리,사용자관리,검색,디스크관리,네트워크,패키지관리)
    여기서는 grep 명령어와 more 명령어를 함께 썼다. ls -al | grep mg -w |more -ls 명령어로 'mg' 란 단어가 정확히 일치하는 파일을 출력하며 파일의 수가 ... -al | more -ls 명령어로 파일 리스트를 볼 때 파일 수가 너무 많아 한 화면으로 다 보지 못할 때 여러 화면으로 나눠서 본다. ... -al | grep mg | more -ls 명령어로 파일 목록을 볼 때 'mg' 란 단어가 들어있는 파일을 보여주고, 파일의 수가 너무 많을 때 여러 화면으로 보여준다.
    리포트 | 19페이지 | 15,000원 | 등록일 2008.10.09
  • 한글파일 [예비]7-segment / Decoder 회로설계
    앞의 2 글자, "74" 는 TTL IC 임을 의미하고, 나머지 문자는 시리즈 (L,LS, HC, HCT, ALS)를 나타내는 문자, 다음은 타입번호이다. ... 표준TTL, 고속TTL(H 시리즈), 저전력TTL(L 시리즈), 쇼트키TTL(S 시리즈), 쇼트키저전력 TTL(LS 시리즈)등 그외 다른 시리즈(HC, HCT, ALS 등등)가 존재하고 ... 내압 30V. - 종류 7447, 74LS47
    리포트 | 5페이지 | 1,500원 | 등록일 2008.11.17
  • 워드파일 VHDL-Post lab - Mux and DeMUX
    Design 74LS138 1x8 DEMUX - Look up 74LS138 in the TTL databook - describe its input output signals - ... Design a 2x1 MUX - describe its input output signals - describe its functional behaviors - write VHDL ... Design 74LS138 1x8 DEMUX -Do a waveform simulation -download the data into the kit -make an experiment
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 한글파일 유닉스 운영체제 사용법 요약.
    아래 명령은 ls -al 명령의 출력을 more 라는 program 의 입력으로 연결하여 사용하라는 명령이다. # ls -al | more ? ... 보통 proces-id, 어느 곳에서 사용하고 있는지를 알려주는 terminal 번호(tty), 프로세스가 동작하고 hang-up된 시간, process의 이름e message는 너무 ... 문자 string에 빈칸이나 특수 문자가 있는 경우는 ' ' 또는 " " 로 묶는다. string을 대소문자 구별없이 (case-independent) 검색할 경우는 -i option을
    리포트 | 14페이지 | 1,000원 | 등록일 2010.08.26
  • 워드파일 VHDL MUX and DEMUX(vhdl prelab 입니다)
    i0, i1 and select signal sel z : out std_logic) ; -- output signal z end two_x_one; architecture Behavioral ... ; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity two_x_one is port( i0, i1, sel : in std_logic; -- input signal ... Design a 2x1 MUX - Describe its input output signals 2x1 MUX의 입력신호는 각 I0, i1로 두 개의 bit가 존재하며, 선택신호인 sel이
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 Economical battery tester
    Final Report 학과 학년 학번 분반 실험조 성명 전기전자공학과 2 2007142082 thu1-5 with 홍범주 홍성현 ? ... We can measure the LED1 on but can't measure Ls (LED1 on) ⑥ Assess the quality of auto battery charging ... Auto battery charging circuit with PSPICE Output signal Current flowing through and ⑧ Compare theoretical
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 파일확장자 c언어를 이용한 minishell
    ' or 'ls [directory]' or 'ls [option] [directory]\n"); return; } if (target_count == 1){ path = " ... unistd.h> #include #include #include #include #include ... currently\n"); } else if (errno == EPERM){ fprintf(stdout, "You don't have the permission to send the signal
    리포트 | 6,000원 | 등록일 2009.12.24
  • 워드파일 [논문]페이딩 현상
    For digital systems, introducing some form of signal diversity and using error-correctioequency-selective ... Here, there is no channel-induced ISI distortion, since the signal time spreading does not result in ... (dB) = Ls(d0) (dB) + 10 n log( d / d0).
    리포트 | 10페이지 | 2,500원 | 등록일 2008.08.20
  • 한글파일 변압기 제작 최종 보고서
    참고문헌 및 사이트 1) 참고문헌 : 전기기계 강의자료 전기기기 설계 - 홍릉과학출판사 : 배종경 역 전기기기 - 성안당 : 임한류 저 2) 참고 사이트 : LS산전 : ... 단면적 : ⅳ) 철심의 단면적 : ① 철심의 면적 : ② 철심의 자속밀도 : ③ 철심 내 흐르는 자속 : ▣ 코일의 선정 ① 코일의 단면적 전류밀도는 사용하는 권선 도체의 종류(Cu,Al ... 개방시험 - 단락시험 (5) 측정 상수를 사용한 전압변동률, 효율 계산치와 측정치 비교 분석 ?
    리포트 | 11페이지 | 1,500원 | 등록일 2012.02.29
  • 워드파일 리눅스 주요 명령어
    > ll : 전체폴더구조 보기 ls : 폴더구조 간단히 보기 ls -al : 숨긴파일 까지 다 보기 ls [디렉토리명] : 해당 디렉토리보기 ls [파일명 또는 일부] : 해당되는 ... 명령어 사용. # chmod 754 test.txt # lsal drwxr-xr-x 5 root 4096 1월 26일 10:52.. drwxr-xr-x 5 root 4096 1월 ... newgrptest2 //다시 파일 생성 # lsal newgrptest2 //그룹이 변경되었으므로 새로 만든 파일 그룹 또한 변경됨 -rw-r—r-- 1 root adimn
    리포트 | 20페이지 | 2,500원 | 등록일 2008.06.19
  • 파일확장자 c언어로 만든 달력 프로그램.-평달 윤달 가능
    year,mon,today,a,b);} else if(key==2){CLEAN;++b;cal(year,mon,today,a,b);} else if(key==4){CLEAN;--a;cal ... CLEAN; year++; cal(year,mon,today,a,b); }else if(key==2){ CLEAN; year--; cal(year,mon,today,a,b); } while ... (key){ key=keys2(key); //방향은 x좌표는 a로 y좌표는 b로하여 추가될때마다 그에맞게 gotoxy좌표가 바뀌게된다. if(key==8){CLEAN;--b;cal(
    리포트 | 1,500원 | 등록일 2010.06.22
  • 파일확장자 리눅스 백업설정
    mysqldump0701.sql /home/test ls -al /home/test rwx-r? ... .tar.gz /usr/local/mysql/data/* mv DB_bak0701.tar.gz /home/test/ ls -al /home/test rwxr-xr-x test.test ... /backup/* /home/test/backup_download ls -al /home/test/backup_download rwxr-xr-x test test etc_bak.tar.gz
    리포트 | 7페이지 | 2,500원 | 등록일 2010.07.07
  • 한글파일 [리포트]리눅스기초
    (or 수정시간) - : 일반파일 d : 디렉토리 ▶ls -al /usr/bin | more → 명령어 →결과(출력) →파이프 ▶ cat ? ... →리다이렉션 기호 → 방향을 바꾼다. ※ 내용을 입력하고 ctrl + d를 누르면 방향을 바꿔서 abc.txt 파일에 내용을 저장하게 된다. → 파일 ▶ls -al /usr/bin ... 파일 이름 변경 ▶ls -l ?
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.23
  • 한글파일 PC caculator
    0); signal s_Z : std_logic_vector (15 downto 0); signal s_ZM_rs, s_ZL_ls, s_cin, s_cout, s_over : std_logic ... ; signal s_ma, s_mb,s_cnt_en, s_IR_en : std_logic; signal s_ALU_S , s_cnt_out : std_logic_vector (3 downto ... c_in : out STD_LOGIC; ma_sel : out STD_LOGIC; mb_sel : out STD_LOGIC; ZM_rs_in : out STD_LOGIC; ZL_ls_in
    리포트 | 15페이지 | 2,000원 | 등록일 2008.06.01 | 수정일 2019.04.12
  • 워드파일 VHDL-Post lab - Counters
    Design the 74LS193A counters -describe its input output signals -describe its functional behaviors -write ... Design a 8-bit up counter with an asynchronous reset -describe its input output signals -2 inputs and ... Design the 74LS193A counters -Do a waveform simulation -download the data into the kit -make an experiment
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 파일확장자 C#으로 구현한 부산 지하철 최단경로,최소환승 프로그램
    eFbm6b/nnH/32f8KN03/P OP8A77P+FAHnfxDv7pdRntj4Msdejg08TWUl3p89xtnYyBkGyGRT9yL5S0fUfNg5XUvLS58RWXiWD+zp LS51jTHtrG4nR0VoAjKgmGT5biSWR8FVYpIvBZHVOw3Tf884 ... ); textBoxNodeBegin.Focus(); textBoxNodeBegin.SelectAll(); return; } if( 0 == endNodeName.Length || -1 ... = 0 ; i < NODE_MAX ; ++i ) visitedNodes[ i ] = 0; for( i = 0 ; i < NODE_MAX ; ++i ) minTimes[ i ] = -1
    리포트 | 5페이지 | 10,000원 | 등록일 2011.06.20 | 수정일 2020.11.23
  • 한글파일 중동지역(이라크)의 이해와 경제분석
    확인매장량 이외에도 서부와 남부 사막지대를 중심으로 최대 2,000억 배럴의 잠재 매장량을 보유하고 있는 것으로 추정되어 지고 있으며, 2010년 10월에 슈리스타니(Hessein al-Shahristani ... 또한, 노후된(25-30년) 발전소의 현대화(rehabilitation)도 추진 중이며, 400곳의 송전시설(sub-station)·배전시설도 신설·보수 추진 계획 중에 있다. ... 도라(Daura) 정유공장 현대화 사업 추진 중 (4억불) 현대중공업 소규모 발전설비 공급 (144대, 3.8억불) 비츠로시스 47MW 가스터빈 납품 및 시공 (9,200만불) LS
    리포트 | 17페이지 | 2,000원 | 등록일 2013.08.19
  • 워드파일 VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    input signal i0, i1 and select signal sel z : out std_logic) ; -- output signal z end two_x_one; architecture ... 위의 나머지 과정들 또한 위 설명을 그대로 따라 가고 있음을 확인할 수 있다. 2) Design 74LS138 1x8 DEMUX - Do a waveform simulation Source ... 실 험 결 과 (Result) HYPERLINK \l "design1" 1) Design a 2x1 MUX HYPERLINK \l "design2" 2) Design 74LS138
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력은 i0와 i1의 두개의 bit이고, 출력은 Z이고 1bit이다. 2x1 ... 실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables이론 및 프리랩PRELABDescribe the ... 1x8 DEMUXLook up 74LS138 in the TTL databookhttp://focus.ti.com/lit/ds/symlink/sn74ls138.pdfdescribe
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • 한글파일 Basic Logic Circuit Design
    2 10 74ALS 1 4 74AS 20 1.5 74F 4 2.5 CMOS IC series Series range of voltage(V) switching frequency(ns ... series Series power consumption(mW/gate) switching frequency( ) 74 10 10 74L 1 35 74H 20 6 74S 20 3 74LS ... ) current at stopping (uA/gate) 4000 3-15 30 200 74HC 2-6 10 23 74AC 2-5.5 8.5 40 74LVX 2-3.6 12 20 74LCX
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업