• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(676)
  • 리포트(554)
  • 자기소개서(94)
  • 논문(21)
  • 시험자료(5)
  • 이력서(1)
  • ppt테마(1)

"dc모터 제어기 설계" 검색결과 1-20 / 676건

  • 파일확장자 DC모터의 위치 제어기 설계
    서론1) 설계주제DC 모터의 위치 제어기 설계2) 설계 목적servo motor의 gain과 작동 시간 등의 효율을 높이기 위해 제어기를 추가 설계하고 모터의 생산성과 내구성을 비교하여 ... 생산성과 내구성 : 1) 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다. 2) 모터를 가능한 오래 사용할 수 있는 제어기설계해야 한다. ... 경제적 제한조건 : 모터에 인가되는 증폭기의 용량을 가능한 적게 하여 비용을 낮게 한다. (K값을 가능한 적게) b.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.09
  • 한글파일 DC 모터의 위치 제어기 설계
    설계목적 1) 설계주제 DC 모터의 위치 제어기 설계 2) Servo motor 전달함수 서보모터는 서보기구의 조작부로서 최종 제어요소에서 입력신호에 응답해 조작부의 기계적 부하를 ... 모터를 가능한 오래 사용할 수 있는 제어기설계해야 한다. ... 설계목적 1) 설계주제 2) Servo motor 전달함수 2.
    리포트 | 13페이지 | 2,000원 | 등록일 2021.06.07
  • 파일확장자 DC모터의 위치 제어기 설계(제어시스템공학설계)
    제어기는 제어기보다 가격적인 측면 에서 비싸다고 판단하였기에 제어기가 제일 적합하다고 생각한다. ... 처음 최대한 증폭기의 용량을 적게 그리고 비용을 적게 하려고  제어기로만 사 용했으나 settling time인 1/30sec보다 작게하는 조건에 맞지 않았다.  제어기는 오버슈트가 ... 결과적으로 설계 제한 요소(damping ratio를 0.4~0.7, 오버슈트 4.6~25.4%, settling time  1/30 미만, phase margin 
    리포트 | 7페이지 | 2,000원 | 등록일 2023.05.07 | 수정일 2023.10.23
  • 워드파일 제어시스템 설계 : DC모터의 위치 제어기 설계
    설계 A목적 :DC모터의 위치 제어기 설계Root locus method 제한조건1) 증폭기의 용량 즉 K값을 가능한 적게 하여 비용을 줄인다.2) 가능한 빠른 시간내에 목표치에 도달하도록 ... (over shoot적게)Matlab app을 이용하여 PD제어기를 통한 PID제어기 튜닝.Response time은 빠를수록 좋으나 빠르면 빨라질수록 k값이 증가하여 제어기의 ... 일때에,Settling time=0.323초기조건 Ts
    리포트 | 5페이지 | 1,000원 | 등록일 2019.12.02 | 수정일 2019.12.05
  • 파워포인트파일 Matlab을 이용한 DC모터 P제어기 설계
    Matlab 을 이용한 DC 모터 P 제어기 설 계 DC 모터 설계 전달함수를 통한 DC 모터 각속도와 출력각도 제어 P 제어기를 이용한 %OS, 정상상태 오차 ( ess ), 상승시간 ... ( Ts ) 제어 DC 모터 모델링 DC 모터는 전기 에너지를 회전 기계에너지로 변환시키는데 , 모터의 회전자에 발생된 대부분의 토크가 외부 부하를 구동하는 데 쓰인다 . ... 미분방정식 전달함수 방정식 - 전압입력에 대한 출력각도 ( 위치 ) 제어 전달함수 - 전압입력에 대한 속도 제어 전달함수 속도제어 Gc (s) 시뮬레이션 정상상태 오차 e ss =
    리포트 | 10페이지 | 1,000원 | 등록일 2017.07.19
  • 한글파일 pid제어기를 이용한 dc motor 설계
    PID제어기를 이용한 DC Motor 속도제어 ? ... 앞서 PID제어기를 이용한 DC 모터 속도제어에서는 D의 값을 0으로 하여 약간의 오버슈트가 발생하였으나 이번에는 D제어까지 사용하여 오버슈트를 없앴다. ? ... 주어진 모델의 재원 및 회로구성 3번 모델 : 5HP , Va=500V , 1750rpm , Vf=300 3번 모델 재원 값을 DC motor function block에 넣어준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.09.04
  • 한글파일 Matlab을 이용한 DC모터 제어기 설계
    Matlab을 이용한 DC모터 제어기 설계 및 성능평가 설계사양 ● Overshoot 16%이내 ● 0.04초 이내의 정정시간 ● 정상상태 오차 없음 ● 제어 입력은 전압, 제어 ... 대상은 DC 모터의 위치 ● PID를 설계하여 위치 제어를 할 것 제어 파라미터들 Symbol Definition Value J 관성모멘트 3.2284e-6[kg·m2/s2] B 댐핑 ... '); 단순히 P제어기로는 정정기간이 너무 길다.
    리포트 | 11페이지 | 3,000원 | 등록일 2009.11.30
  • 파일확장자 [전기.전자.제어세스템.설계] DC-MOTOR Compensator, DC-MOTOR의 위치 제어기 설계
    ① ζ값 설정 - 위의 조건 중 2-2)을 만족하기 위해서 P.O가 제일 작은 값인 ζ가 0.8로 결정.② ζωn설정, ZERO의 위치변경 1. ζωn의 값이 160인 경우zero위치 : -20인경우numc=[1 20];denc=[1 280];sysc=tf(numc,d..
    리포트 | 10페이지 | 3,000원 | 등록일 2011.07.17
  • 파워포인트파일 matlab를 이용한 DC모터 제어기 설계PID
    DC Motor의 위치 제어기 설계 성명 스텝응답의 정상상태 오차 : 10% 이하 정착시간 : 0.5초 이하 최대초과 : 10% 이하 제어목표 시정수와 이득 구하기 주파수 영역 설계 ... P제어기 PID 설계 PID 설계 PI제어기 루쓰-허위쯔 PID 설계 PI제어와 단위 되먹임 비교 파:PI제어 녹:단위되먹임 하:K=5 되먹임 PID 설계 감쇠비가 원래 값 0.1911로부터 ... 증가 : 미분제어 효과 PID 설계 PID제어 PID 설계 PID제어 Kp=25, Kp=10일 때 근 궤적 PID 설계 PID제어 PID 설계 PID제어 PID 설계 KP Kds
    리포트 | 26페이지 | 1,500원 | 등록일 2008.06.08
  • 한글파일 [공학기술]DC 모터를 위한 디지털 제어기 설계
    공학사학위 논문 서기 2007학년도 DC 모터를 위한 디지털 제어기 설계 (하드웨어부) 서경대학교 이공대학 ... 전 자 공 학 과 안 광 철 공학사학위 논문 DC 모터를 위한 디지털 제어기 설계 (하드웨어부) 2007年 ... 머신 등 고속, 고정밀, 고정도의 제어를 요구하는 장비들은 전자 제어 기술과 기계 설계기술의 첨단기술에 속한다.
    리포트 | 41페이지 | 2,000원 | 등록일 2007.05.07
  • 한글파일 아주대학교 자동제어실험 8번 실험 / 위치제어시스템 / 예비보고서
    Specification 엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... Placement 모터를 구동시키기 위해서는 전력 증폭기가 필요하다. 이 실험에 사용되는 전다. ... 오차까지 미분값에 비례하기 때문에 미분제어의 효과를 고려하여 PD제어기를 적절히 설계하면 시스템의 과도응답 특성을 개선시킬 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 한글파일 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 결과보고서
    Specification 엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... 제어기의 전달함수에 G(s)=K _{p} + {K _{i}} over {s}와 같은 비례-적분제어기를 추가할 수 있다. ... 염두라고 P-I 제어기를 구성했다.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.03.06
  • 파워포인트파일 현대자동차 PT면접(1차면접) 최종합격본
    필터 적용 차선과 차체 상대위치 계산 서보모터 조향 , DC 모터 속도제어 ㅇㅇㅇㅇ 캡스톤디자인 대회 AI 무인소화장치 불길 / 연기 / 유해가스 센서 Zigbee Serial 통신 ... IFX007T DC 모터와 서보모터 TSL1401CL 라인스캔 카메라 적외선 센서 HW SW Hitec Tricore Infineon BIFACES Time Scheduler 카메라 ... 객체지향 프로그래밍 전자회로 전자회로 설계실습 반도체공학 운영체제 자동제어 통신공학 회로설계 전기회로 설계실습 아날로그및디지털회로설계실습 (B0) 회로및시스템 마이크로프로세서응용실습
    ppt테마 | 13페이지 | 19,000원 | 등록일 2023.06.02 | 수정일 2023.08.24
  • 한글파일 아주대학교 자동제어실험 9번 실험 / 펜듈럼시스템 / 예비보고서
    Specification 엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... PID 제어기를 완성한 이후 설계한 Pole과 Arm의 제어기를 이용하여 전체 시스템의 PID제어기를 구성한다. 7. ... 이제 Step response 응답을 통해 추정한 1차 모델에 PID 제어기설계한다. 전달함수는 다음과 같이 나타낼 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 파일확장자 직류전동기 제어기법을 적용한 3상 BLDC 모터 제어에 관한 연구
    본 연구에서는 BLDC모터의 권선에 흐르는 전류가 직류전동기의 전기자에 흐르는 구형파 형태의 전 류인 것에 착안하여 직류전동기에 대한 제어기설계하고, 설계제어기를 3상 BLDC모터에 ... 본 논문은 직류전동기(DC motor)와 전기적인 특성은 유사하지만, 수명과 신뢰성이 향상된 BLDC 모터제어기법에 대해 언 급하고 있다. ... DC 전동기의 속도제어 시뮬레이션을 시행하여 제어기의 성능을 확인하였고, 동일한 제어기를 MATLAB으로 구현한 3상 BLDC모터의 속도제어에 적용하였다.
    논문 | 8페이지 | 4,000원 | 등록일 2023.12.04
  • 파일확장자 퍼지제어기를 이용한 DC 서보 모터의 속도 제어
    비선형의 특성을 갖고 있는 DC 서보 모터의 속도 제어에 퍼지 제어기의 사용을 제안하였다. ... 따라서 퍼지 모델로부터 설계되는 퍼지 제어기는 시스템의 비선형 특성이 잘 반영되어지며 그러한 점은 서보 모터의 속도 제어에 응용한 결과 잘 알 수 있었다. ... 퍼지 제어기는 퍼지 모델로부터 설계되며, 그 퍼지 모델은 시스템의 입출력 데이터로 인식되고 비선형 시스템의 표현에 뛰어난 능력을 갖고 있다.
    논문 | 5페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 인하대학교 기계공학실험 A -DC모터 제어 결과 보고서입니다.
    발생-복잡하고 값이 비쌈-제어계 전체가 불안정해질 가능성예시-선풍기-커피자판기-신호등-아날로그형 전자계산기-자동차 속도 제어기-자동난방장치 ... 설계가 단순-제어계가 안정-제품의 단가를 낮춤-외부조건의 변화에 대처 가능-제어계의 특성을 향상-목표값에 정확히 도달단점-외부조건(외란)의 변화에 대처 불가능-목표값과 오차가 많이 ... 개 루프 제어 시스템은 피드백 경로 없이 보통 플랜트와 제어기를 직렬로 연결한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2020.12.25 | 수정일 2020.12.30
  • 파일확장자 오이 로봇 수확용 엔드이펙터 개발
    모터DC모터로 기어를 이용하여 작동되며, 동력전달에 있어 균형적인 배분을 이루었고, 따라서 부드러운 작동이 가능하였다. ... 모터는 칼날을 회전시키는데 있어 제어가 쉽고, 정확한 동력전달로서의 베벨기어는 비교적 정확한 결과를 제시했다. 2.실험에서의 반복적인 오이 절단작업에 제시된 결과는, 모든 작물에 적용될 ... Its development involved the integration of an end-effector system with a PC compatible, DC motors, and
    논문 | 5페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 현대자동차 에너지직무 합격자소서
    DC모터를 수학적으로 모델링하고 Matlab과 Simulink를 활용하여 폐루프 시스템을 만들고 외란에 강건하게 제어기와 보상기를 설계했습니다. ... 이를 통해 DC모터 PID 제어 설계 프로젝트도 구현했습니다. ... 펜듈럼의 제어기 설계 프로젝트를 진행하며 해당 역량을 키웠습니다. Simulink를 활용해 시스템에 대한 위치-속도-전류 순으로 제어기설계했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.02.12
  • 한글파일 현대자동차 자동차설계직 합격자소서
    Matlab과 Simulink를 활용하여 DC모터제어기 설계와 1자유도 제어를 구현했습니다. 위치-속도-전류 순으로 제어기설계했습니다. ... DC 모터에 바를 부착하고 각도를 입력한 결과, 바가 정확히 입력 각도만큼 회전하여 위치 제어가 잘 설계된 것을 확인했습니다. ... 자동제어(4.0/4.5): DC모터를 모델링하고 특성 방정식을 구한 후, Matlab과 simulink로 폐루프 시스템을 설계하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.03.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업