• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(121)
  • 리포트(110)
  • 자기소개서(9)
  • 이력서(2)

"VHDL Project" 검색결과 1-20 / 121건

  • 파일확장자 VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    1. 서론 2016년 3월 알파고와 이세돌의 바둑 경기가 끝난 후 전 세계적으로 인공지능 붐이 일어나고 있다. 최근에는 4차 산업 혁명에서 핵심인 인공지능을 이용하여 스타크래프트 경기를 준비하고 있다고 들었 다. 세계적인 추세에 힘입어 우리는 묵지빠 게..
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 한글파일 VHDL을 이용한 Digital clock project 기획안
    VHDL을 이용한 Digital clock project 1. 서론 현대 사회에서는 디지털이라는 용어가 빠지지 않는다. ... 현제 조원들의 Quartus II의 실행 능력이 부족하고 아직 VHDL언어에 대해서 따로 배운 적도 없고 아직 걸음마 단계 이다. 2. ... 11월30일 최종 VHDL 조합 11월29일~11월30일 시뮬레이션, 블록 연결 12월 1일~12월6일 최종 발표 PPT 작성 12월7일~12월9일
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.10
  • 워드파일 VHDL-Final Project Digital Clock 만들기 <- A+
    자세한 소스 코드는 Project 파일에 첨부하였다. ... 실험 목적 지금까지 배운 Spartan b/d 를 구현 하기 위한 VHDL 코드를 이용한 Digital Clock code를 작성하고, Spartan b/d 에 Download 하여
    리포트 | 27페이지 | 3,500원 | 등록일 2009.06.29
  • 파일확장자 [디지털시스템] Project3 보고서 VHDL을 이용한 Digital Clock 설계 (소스포함)
    2 Problem Statement 2.1 Describe what the problem is. Digital Clock Chip 에서 사용되는 TIME, DATE, WEEK, TIMER BLOCK 을 설계하고자 한다. 이 중에서 TIME BLOCK 은 미리 설계되어 ..
    리포트 | 24페이지 | 4,000원 | 등록일 2008.07.07
  • 파일확장자 [디지털시스템] Project1 보고서 VHDL을 이용한 Digital Clock 설계(최종) (소스포함)
    3.1 설명된 내용을 바탕으로 SELECTOR, DIVIDER 를 설계하고 DIGITAL CLOCK CHIP 을 완성한다. 앞에 설명된 내용을 바탕으로 SELECTOR, DIVIDER 를 설계하고, 여태까지 설계한 모든 BLOCK 들을 PORT MAPPING 을 통해 ..
    리포트 | 30페이지 | 5,000원 | 등록일 2008.07.07
  • 파일확장자 [디지털시스템] Project2 보고서 VHDL을 이용한 Digital Clock Mode Generator (소스포함)
    1 Introduction 이번 실습에서는 digital clock chip 에 있어 사용되는 mode generator 를 VHDL(VHSIC Hardware Description ... 기능을 구현하기 위해 이번 실습에서는 3 개의 버튼을 가정하고 그 버튼을 누를 때마다 MODE 가 바뀌도록 구현하여 이 변화를 다른 모듈에 알려주는 MODE GENERATOR 를 VHDL
    리포트 | 13페이지 | 3,500원 | 등록일 2008.07.07
  • 파일확장자 [디지털시스템] Project1 보고서 VHDL을 이용한 7-Segment LED 태스트 (소스포함)
    1 Introduction이번 실습에서는 digital clock chip 에 있어 사용되는 7-segment driver 를 VHDL(VHSICHardware Description ... 이번 실습에서는VHDL 코드를 가지고 이 7-Segment 를 쉽게 구동할 수 있는 Device Driver 를 설계하는것이다.Segment 의 특징7-Segment Display ... 모두 8 개의 LED 로 구성된다.2.2 Describe how you do solve the problem.7 Segment 의 동작 원리를 알아보고 알맞은 입출력표를 만들어 VHDL
    리포트 | 12페이지 | 3,000원 | 등록일 2008.07.07
  • 한글파일 VHDL를 이용한 FPGA설계 레포트코드해석본
    FINAL TERM PROJECT Reporting date 2018.06.22 Major 전자공학과 Subject 디지털시스템설계실습VHDL Student ID 5 Professor ... VHDL CODE 및 핀 할당 ·································· 9 4. ... VHDL 코드 및 핀 할당 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 한글파일 디지털시스템실험 2주차 예비보고서
    Verilog는 산업 및 학계에서 하드웨어 설계자에 의해 사용되는 두 주요한 HDL(Hardware Description Languages)중 하나이고 다른 하나는 VHDL(VHSIC ... VHDL은 1987년에, Verilog는 1995년에 각각 IEEE 표준이 되었다. 1990년 5월 OVI(Open Verilog International)의 설립까지 Verilog ... Project > Add/Remove Files in Project 메뉴를 선택하여 프로젝트에 LAB01_INTRODUCTION.v 파일이 추가되어 있는지를 확인한다. 6.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 9장 VHDL 설계 툴 사용법 예비
    Project Navigator의 경로를 따라가면 Xilinx를 사용할 수 있다. 다. Xilinx VHDL를 사용한 설계 예 ? ... Xilinx VHDL 사용법 (1) New project를 만들 폴더와 이름을 정해주고 Next를 클릭한다. (2) simulator ? ... 9장, VHDL 설계툴 사용법 예비보고서 1. 목적 가. VHDL의 설계 툴 중 하나인 Xilinx VHDL의 설치법을 익힌다. 나.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 VHDL코드를 이용한 4비트 감가산기 구현
    디지털 시스템 Term project 포트 폴리오 설계 과제명 Digicom V3.32와 quartusII를 이용한 4비트 감가산기 구현 과목명 디지털 시스템 담당교수 ooo 교수님 ... 결과 VHDL CODE를 이용하여 소스를 구성하고 이를 Digcom v3.2에 구현을 하여 정상 작동하는지 확인 해보았다. 비고 이oo 4비트 감가산기 설계 1. ... 기간 - 설계 배경 디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 워드파일 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오. ... 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오(입력 : button SW, 출력 : LED). 1. my_and2 라는 이름의 project를 ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    (입력: button SW, 출력 : LED) (1) Verilog HDL와 simulation 비트연산자를 통한 and (2) 자세한 실험 방법 1) new project를 만든다 ... 배경 이론 Verilog HDL과 VHDL의 장단점 (1) HDL (Hardware Description Language) 먼저 Verilog HDL과 VHDL을 포함하는 HDL에 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다. (2) Verilog HDL과 VHDL Verilog HDL과 VHDL
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 전전설2 3주차 실험 결과레포트
    File > New project에서 새 프로젝트를 ‘my_and3’의 이름으로 생성한다. 2. ... File > New project에서 새 프로젝트를 ‘my_and4’의 이름으로 생성한다. 2. ... File > New project에서 새 프로젝트를 ‘my_xor/2/3’의 이름으로 각각 생성이 들어왔다.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 워드파일 시립대 전전설2 [2주차 예비] 레포트
    Essential Backgrounds for this Lab Xilinx integrated software environment 통합 소프트웨어 환경 입니다. verilog HDL이나 VHDL의 ... Manage configuration project를 들어가서 boundry scan을 하고 initialize chain을 클릭하여 device를 창에 띄워준다. ... Half Adder 1 )New Project Wizard에서 family: spatan3, Device : XC3S200, pakage PQ208, Speed -4, synthesis
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... File ⇒ New Project Implement Design Compile Manage Configuration Project (iMPACT)를 실행한다. ... 실험 방법 Project Navigator를 실행한다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 워드파일 [지방대/최종합격] SK하이닉스 회로개발 자기소개서
    또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다. ... 가장 많은 열정을 투자한 Project1이 제일 기억에 남습니다. ... Project1의 목표는 최소 면적의 OAI222 Schematic/Layout 설계 및 누설 전류 측정과 Hspice 동작 자동화였습니다.
    자기소개서 | 4페이지 | 4,500원 | 등록일 2023.05.05
  • 워드파일 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    ISE Project Navigator를 실행하고 new project를 만든다. 이 때 top-level source type은 HDL을 선택한다. 2. ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    VHDL - 디지털 시계- Term PROJECT 5조 200##### ### ※ 목차 ※ 1. 작품 선정동기 및 개발 목적/목표 2. 개발내용 - 소스코드 - 시뮬레이션 1. ... 개발 목적/목표 Term Project 목적은 2학기 동안 VHDL 수업에서 배운 내용을 프로젝트를 통해 이해를 하고 실제 시장에서 저희가 만든 제품이 얼마나 판매되고 사용될지는 모르겠지만 ... 이미 한 번 쯤은 경험해 보았지만 처음으로 배운 VHDL에서 간단한 칩으로만 만들었던 회로를 VHDL 방식으로 변환시켜 그것을 응용함으로써 더욱 완벽히 VHDL에 대한 것을 알아 가기
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • 한글파일 VHDL를 활용한 EE ATM 설계하기
    -이론 이번 TERM PROJECT를 통해 수업시간에 배우지 않은 기능을 익힌 부분은 TEXT LCD, STEP MOTOR, TFT LCD 총 3가지였다. ①TEXT LCD Text-LCD ... 프로그래밍 바이블 , 한동일 , 생능출판사, 2011 -VHDL을 이용한 FPGA 디지털 설계(실습부터 응용까지), 노승환 , 한빛미디어 , 2009 -논리회로도와 VHDL를 이용한 ... 고민 끝에 ATM을 구현하기로 결정하였고, 구현방법은 VHDL을 통해 구현하기로 결정하게 되었다.
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업