• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,821)
  • 리포트(7,080)
  • 시험자료(259)
  • 논문(169)
  • 방송통신대(125)
  • 자기소개서(85)
  • ppt테마(50)
  • 이력서(34)
  • 서식(19)

바로가기

NT 독후감 - NT 관련 독후감 165건 제공

"NT" 검색결과 161-180 / 7,821건

  • 한글파일 (만점 받은 과제) 파이썬과 R 출석대체 과제물
    상자그림을 그리기 위해 sb.boxplot(y='변수명', data=nt)과 같은 형태로, y축은 각각의 변수명, data는 nt를 입력하여 각각의 변수별로 상자그림이 그려지도록 했다 ... 그래서 표기가 생략된 열에 대해 각각 별도로 기술통계량을 보기위해 nt[‘열이름’].describe 함수를 활용해 각각의 값들을 확인했다. ... 히스토그램은 matplotlib.pyplot을 불러와 작성했다. plt.hist(nt[’변수명‘])과 같은 형태로 작성하여 각가의 변수별로 히스토그램이 그려지도록 했다.
    방송통신대 | 15페이지 | 5,000원 | 등록일 2022.12.07
  • 한글파일 1) 생명공학 줄기세포에 관해서 설명하고 황우석박사 사건에 대하여 서술하시오 2) 유전자공학에 이용할 수 있는 방법
    -1’에 대한 특허심사를 통해 ‘NT-1’의 ‘실체’와 ‘원천기술’의 존재가 인정되었다는 점이다(유지홍, 2013). ... 우리나라의 황우석 박사팀은 2004년 미국의 저널 사이언스에 ‘NT-1’에 관한 논문을 게제하며 우리나라의 생명공학의 위상을 세계적으로 끌어올렸다. ... 서울지방법원 판결에서 김선종 연구원의 섞어심기 사실이 확인되었고, 이 부분에 대해서는 황박사의 개입이 없었으며 간파하기도 힘들었다고 판단되어 무죄가 선고되었고, 세계 11개국에서 ‘NT
    방송통신대 | 5페이지 | 2,000원 | 등록일 2023.08.29 | 수정일 2023.09.22
  • 한글파일 한화솔루션_첨단소재부문 R&D 서류 합격자소서
    1.본인이 어떤 사람인지 자유롭게 작성해주세요. [오직 할 뿐] 저의 좌우명은 ‘오직 할 뿐’이라는 문구로 설명드리고 싶습니다. 고등학교 시절 입시 공부를 할 때 목표에 집착해 현재의 노력에 집중하지 못했고 좋은 결과를 얻지 못했습니다. 노력과 결과가 비례하지 않는 것..
    자기소개서 | 3페이지 | 3,600원 | 등록일 2022.06.12 | 수정일 2024.03.12
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    LCD_EN에는 앞에서 만들었던, lcd_cnt값을 반전시켜 전달받아 0과 1이 반복되었다. ... 전달시에는 lcd_cnt (8 downto 1)이기 때문에, 반으로 나눈 값이 lcd_state로 들어가게 된다. ... Process(FPGA_RSTB, clk_100k, load_50 ,cnt_50) 만들어진 100Khz 클럭을 기준으로, 50hz의 클럭을 만드는 과정이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 사용자로부터 직접 이름과 주소를 입력받아서 배열에 저장시킨 후 출력하는 프로그램
    11. int i, input_cnt = 0;? 12. while(1)? 13. {? 14. now = &ab[input_cnt];? ... 19. printf("===== 주소록 (%d 개) =====\n", input_cnt);? 20. for(i=0; iaddress);? 28. input_cnt++;?
    리포트 | 2페이지 | 2,000원 | 등록일 2021.01.06
  • 파일확장자 경북대학교 융합소프트웨어학 대학원 자기소개서작성성공패턴 면접기출문제와 구두면접예상문제 논술주제 연구계획서 견본 연구계획서견본 자소서입력항목분석
    )을 통하여 신제품 또는 신규 서비스를 창출하거나 기존 제품 또는 서비스를 향상 시키는 기술을 통칭하는 표현으로 의미가 확장.초기 미디어 산업의 일부 추세로 인식되던 융합 기술은 NT
    자기소개서 | 562페이지 | 9,900원 | 등록일 2022.05.13
  • 한글파일 C언어1- 사용자로부터 직접 이름과 주소를 입력받아서 배열에 저장시킨 후 출력하는 프로그램
    11. int i, input_cnt = 0;? 12. while(1)? 13. {? 14. now = &ab[input_cnt];? ... 19. printf("===== 주소록 (%d 개) =====\n", input_cnt);? 20. for(i=0; iaddress);? 28. input_cnt++;?
    리포트 | 2페이지 | 2,500원 | 등록일 2021.01.06
  • 워드파일 생명공학 'Accelerated FRET-PAINT microscopy' 영어논문 해석 (Molecular Brain)
    반동 시간은 670ms(9nt), 63ms(8nt), 4.8ms(7nt), 3.7ms였다. (6 nt.) 7 nt 및 6 nt 기증자 가닥의 분리 시간은 카메라 노출 시간(5 ms) ... 새로운 현미경을 위해 7 nt 도너 가닥과 1.5 kW/cm2의 배설 파워가 사용되었고, 기존 현미경에는 9nt 도너 가닥과 460 W/cm2의 배설 파워가 사용되었다. ... 둘째, 그들은 약 1초로 추정되는 9nt 기증자 가닥을 사용했다.
    리포트 | 9페이지 | 3,500원 | 등록일 2021.04.29
  • 한글파일 기체 분자 운동론
    E _{i`nt} `=` {3} over {2} `nRT``ㆍㆍㆍv) 우리는 v)식을 통해 이상기체의 내부에너지 (E _{i`nt} )는 단지 온도 (T) 만의 함수이며 다른 변수에는 ... {TRIANGLE E _{i`nt}} over {n TRIANGLE T} `=`C _{p} `-R``ㆍㆍㆍv) 여기서 {TRIANGLE E _{i`nt}} over {n` TRIANGLE ... 이때 기체의 내부에너지 (E _{i`nt} )는 아래와 같이 나타낼 수 있다.
    리포트 | 11페이지 | 3,000원 | 등록일 2022.06.15
  • 한글파일 충북대 기초회로실험 중첩의 원리, 테브난/노턴의 정리 예비
    R_{ NT}는 I_{ NT}를 회로 상에서 개방하였을 때, 두 단자 A와 B에서 바라본 저항이다. ... 두 값을 알면 개방 회로 전압 V _{OC}는 V _{OC} =I _{NT} +R _{NT}로 구할 수 있다. (4) 테브난 및 노턴 등가 회로의 상호 변환 테브난 등가 회로와 노턴 ... I _{NT} = {V _{TH}} over {R _{TH}}R _{NT} =R _{TH} (5) 직류 전압원과 전류원 이상적인 전원은 부하 저항의 크기에 관계없이 항상 일정한 전압
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 7주차 예비 - 중첩의 원리와 테브난노턴 정리
    I _{NT}는 두 단자를 단락하였을 때 두 단자사이에 흐르는 전류이고 R _{NT}는 I _{NT} 를 회로 상에서 개방하였을 때. 두 단자에서 바라본 저항이다. ... 측정된I _{NT}와 V _{OC}를 사용하여 R _{NT}를 구할 수 있다. (4) 테브난 및 노턴 등가 회로의 상호 변환 I _{NT} ```= {V _{TH}} over {R ... 와 이와 병렬로 연결된 하나의 저항 R _{NT}로 구성된 회로로 표현한 회로이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.01 | 수정일 2020.11.15
  • 한글파일 엔트로피에 대한 역학적 소개
    때, 아래와 같은 식이 성립된다. dE _{i`nt} `=`n`C _{v} `dT``ㆍㆍㆍii)# # dW``=`p`dV``=`nRTㆍ {dV} over {V} ``ㆍㆍㆍiii) ... } )와 외부로부터 계에 한 일의 양 (dW)에 대한 상태함수이다. dE _{i`nt} `=`dQ`-`dW``ㆍㆍㆍi)````(열역학````제``1법칙) 평형상태에서 가역과정을 가정할 ... } `=`T`dS``+`F`dx``ㆍㆍㆍiv) 그리고 고무줄이 늘어날 때, 고무줄 내부의 에너지 변화 (dE _{i`nt} )가 없다고 가정하여 iv)식을 정리하면 아래와 같다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.07.09
  • 한글파일 (A+자료) C언어 비트 연산자
    win_cnt,?int?lose_cnt,?int?tie_cnt){ ????printf("\n%s\n%s%4d\n%s%4d\n%s%4d\n%s%4d\n\n",?"GAME? ... lose_cnt)?printf("CONGRATULATIONS?You?won!\n\n"); ????else?if(win_cnt==?lose_cnt)?printf("A?DRAW?-? ... win_cnt,?"???Lose:???",?lose_ors\"\n" ????????"???Both?the?player?and?the?machine\n"? ????????"???
    시험자료 | 11페이지 | 2,000원 | 등록일 2023.01.11 | 수정일 2023.01.17
  • 파일확장자 학공치 표층예망어구 모형의 운동특성
    그물어구의 장력(Nt)과 예인속도와는 Nt=106.94Vt+1.43의 관계식으로 나타낼 수 있는데, 표층예망어구는 예인속도 1.5Kont 이상에서 수면과 수평이 되는 수중형상을 보였다
    논문 | 11페이지 | 4,200원 | 등록일 2023.04.05
  • 한글파일 VHDL를 이용한 FPGA설계 레포트코드해석본
    cnt3hz := 0; clk3 = 35805 then cnt4hz := 0; clk4 = 31895 then cnt5hz := 0; clk5 = 28395 then cnt6hz ... then cnt6hz := 0; clk_sound = 47800 then cnt1hz := 0; clk1 = 42576 then cnt2hz := 0; clk2 = 37922 then ... : integer :=0; begin if rising_edge(clk) then if cnt8hz >= 500000 then cnt8hz := 0; clk_word = 8000000
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 파워포인트파일 12 파이썬기초- 코딩할 때 자주하는 실수
    실수 쌩 초보용 들여쓰기 ( 단락 맞추기 ) 콜론 연습문제 for i in range(1, 51 ) time = randrange (5,51) if 5 = time = 15 : cnt ... 소요시간 : {1} 분 ).format( i , time)) for i in range(1, 51) : time = randrange (5,51) if 5 = time = 15: cnt
    리포트 | 10페이지 | 2,000원 | 등록일 2023.04.28
  • 한글파일 3. 백준 1978번 소수찾기 C++
    = 0; for (int j = 1; j < a + 1; j++) { if (a % j == 0) cnt++; } if (cnt == 2) count++; } cout ... namespace std; int main() { int N; cin >> N; int a, count = 0; for (int i = 0; i < N; i++) { cin >> a; int cnt
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.24
  • 한글파일 (숲과삶 B형) 도시에서 숲(도시의 산림을 포함한 녹지공간으로 교재에서 정의하고 있는 숲을 의미함)이 점차 감소와 훼손
    영향을 준 것은 확실하지만 우리나라의 그것은 영국의 NT 운동과 달리 제도권에서 추진되는 관주도 NT운동 및 시민사회의 민주도 NT운동으로 양분화될 가능성이 클 뿐만 아니라 이 운동의 ... 나라와 지역의 상황에 맞게 NT운동을 받아들이는 것도 중요하지만 그 목적성을 토대로 제대로 받아들이는 것 또한 사회적 운동의 핵심이라 생각한다. ... 물론이고 시설물 관리까지 지속가능할지는 불투명하다 볼 (3) 우리나라 내셔널트러스트 운동의 한계 영국에서 시작된 내셔널 트러스트 운동이 국민신탁법 제정을 계기로 재구성 된 우리나라의 NT운동에
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.02.02
  • 한글파일 실험4 예비 2 마이크로프로세서 실험 및 설계 실습3. GPIO로 FND LED켜기 실습4. 내부 메모리와 레지스터 이해
    PORTD = FND_DATA_TBL[cnt]; //FND_DATA_TBL[cnt] 7-segment에 표시할 글자의 입력 데이터를 저장 cnt++; //cnt변수를 1씩 증가 if ... (cnt>17) cnt=0; //테이블 크기를 초과하는 경우 방지 for(i=0;i SRAM의 영역 int main(){ //출력의 형태가 int이고 입력의 형태가 ()인 main이라는 ... =0 ,i; //부호가 없는 char 형태의 cnt 변수 선언과 초기값 0 대입.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 워드파일 급여관리 프로그램 작성 레포트
    \n\n", salaryavg(e, cnt)); // c. ... 작업이 끝난 뒤에는 작업의 반복된 횟수는 직원수와 같으므로 이를 cnt 변수에 따로 저장하고, fclose() 함수를 사용해 파일을 닫는다. ... 총급여, 세금 계산 compute_total_salary(e, cnt); // 메뉴 while (yes e(e[i]); printf("\n"); } // b.
    리포트 | 19페이지 | 2,500원 | 등록일 2022.06.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업