• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,821)
  • 리포트(7,080)
  • 시험자료(259)
  • 논문(169)
  • 방송통신대(125)
  • 자기소개서(85)
  • ppt테마(50)
  • 이력서(34)
  • 서식(19)

바로가기

NT 독후감 - NT 관련 독후감 165건 제공

"NT" 검색결과 201-220 / 7,821건

  • 한글파일 디지털 시계 콤보(combo2) 소스
    = 0; reg [3:0] cnt3 = 0; reg [3:0] cnt2 = 0; reg [22:0] cnt = 0; reg [13:0] dis_0, dis_1, dis_2, dis ... :0] seg_com, seg_data); parameter zero = 0; parameter one = 1; reg [2:0] days = 6; reg [8:0] cnt4
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.22 | 수정일 2020.12.24
  • 워드파일 [자료구조]이진탐색트리,승자트리(winner tree) 문제 + 소스코드
    nums[], int win[]); int sort(int k, int nums[], int win[]); int main() { unsigned seed; int k, i, cnt ... &k); printf("value of seed >> "); scanf_s("%u", &seed); srand(seed); for (i = 1; i = k && i >= 1; cnt ... --, i--) winTree[cnt] = i; for (i = 2 * k - 1; i > 1; i -= 2) { if (nums[winTree[i - 1]] < nums[winTree
    리포트 | 11페이지 | 2,500원 | 등록일 2021.07.31
  • 한글파일 마이크로프로세서+2주차+결과보고서 GPIO로 FND LED켜기
    = 0, i; DDRE=0xFF; while(1){ PORTE=FND_DATA_TBL [cnt]; cnt++; if(cnt>17) cnt=0; for(i=0;i7) cnt=0; for ... 따라서 입력에 총 8개의 모양을 출력하게 되고 cnt는 0부터 count하여 7이 되고 8이 되면 초기화가 되도록 if함수에서 cnt값이 7보다 큰 경우 초기화 되도록 하면 된다. ... cnt값을 넣어주지 않게 되면 선언된 FND_DATA_TBL[cnt]에 정의된 값이 없기 때문에 FND LED에 아무것도 출력하지 않고 딜레이만 갖게 되고 어느 순간 초기화되어 다시
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 (컴퓨터의이해) 교재 1.7.1절 개인용 컴퓨터 (15,16 페이지)을 읽고 개인용 컴퓨터를 하나 선정한다
    삼성전자 노트북 PEN S NT950SBE-X716A의 주기억장치는 메모리 부문인 ‘16GB LPDDR3 MEMORY (ON BD 16GB)로 정의한다. ... 본인이 살펴볼 ‘삼성전자 노트북 PEN S NT950SBE-X716A’의 CPU는 최신 8세대의 인텔 프로세서로 압도적인 성능을 갖추고 있다고 볼 수 있다. ... 개인용 컴퓨터 선정 후 각 장치와 운영체제에 대한 역할 설명 1) 개인용 컴퓨터 선정 삼성전자 노트북 PEN S NT950SBE-X716A는 기존 개인용 컴퓨터에서 편의성과 실용성을
    방송통신대 | 8페이지 | 3,000원 | 등록일 2020.01.23
  • 엑셀파일 대만 타이페이 2박 3일 자유여행 일정표
    $220, 부추꽃볶음 NT$250, 파인애플크림새우 NT$420 공기밥 NT$15 사이다NT$60 부가세+10% = NT$1062(한화 약 4만원이라 함, 1인 500)" 9:30성품서점 ... 펑리수 1개 NT$35 선물세트 12개 NT$420. ... "운영시간 - 쇼핑몰: 11:00~22:00 , 관람차 11:00~23:00(금 토&공휴일 전날 ~24:00)" 요금 - 관람차 NT $150 (토&공휴일 NT$200) *스린야시장
    리포트 | 1페이지 | 1,000원 | 등록일 2020.04.03 | 수정일 2020.04.13
  • 파일확장자 경북대학교 금속신소재공학전공 대학원 자기소개서 작성 성공패턴 면접기출문제와 구두면접예상문제 논술주제 면접자료
    나노기술(Nano Technology; NT)은 10억분의 1미터인 나노미터 단위에 근접한 원자, 분자 및 초분자 정도의 작은 크기 단위에서 물질을 합성하고, 조립, 제어하며 혹은
    자기소개서 | 172페이지 | 9,900원 | 등록일 2020.10.18
  • 한글파일 중국어 초급 연극 대본 (흥부와 놀부 각색)
    nt? h?o ma? 놀부 부인 - 我身?非常非常好。??? w? sh?nt? f?ichangf?ichang h?o。n? ne? 흥부 - ??虎虎。??身?好?? m?m?h?h?。 ... nt? h?o ma? 놀부 - 他也身?比?好。 t? y? sh?nt? b?jiao h?o。 흥부 부인 - 我??喝?泉水。(놀부부인이 흥부부인을 때린다) w? q?ng n? h? ... nt? h?o ma? 놀부 : 我身?非常好。??? w? sh?nt? f?ichang h?o。n? ne? 흥부 : ??虎虎。 m?m?h?h?。 놀부 : ?在??工作? n?
    리포트 | 7페이지 | 2,000원 | 등록일 2020.10.26 | 수정일 2020.10.29
  • 한글파일 강재와 인장재 정리
    .] - 블록전단파단 한계 상태 R _{n} =0.6*F _{u} A _{nv} +U _{bs} F _{u} A _{nt} LEQ 0.6*F _{y} A _{gv} +U _{bs} ... F _{u} A _{nt} ` [둘 중에 더 작은 값으로 정한다.] ( A _{gv}: 전단저항 총단면적[ mm ^{2}], A _{nv}: 전단저항 순단면적[ mm ^{2}], A ... _{nt}: 인장저항 순단면적[ mm ^{2}]) --> 순단면적을 구할 때 볼트구멍에 해당되는 부피를 빼야한다. ([ U _{bs}=1.0]: 인장응력이 일정한 경우, [ U _
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.01.29
  • 한글파일 ATmega128의 Timer LCD 표시하기
    typedef unsigned char BYTE; #define CLR 0 #define SET 1 #define T_START 3035 BYTE tmr_flag; int tmr_cnt ... == 1000){ tmr_cnt = 0; tmr_flag = SET; ++sec; } } void Delay_us(BYTE time_us) { BYTE i; for(i=0;i ... , min_1; int sec, sec_10, sec_1; ISR(TIMER1_OVF_vect)// timer1 인터럽트 사용 { TCNT1 = T_START; if(++tmr_cnt
    리포트 | 7페이지 | 3,000원 | 등록일 2019.07.29 | 수정일 2023.06.24
  • 엑셀파일 대만(타이완) 타이베이(Taipei) 1박 2일 여행 세부일정표
    $, 대 70NT$"더페이스샵에서 우회전 작은 골목 (약 3분거리) 12:23~12:50곱창국수 및곱창국수집 및 감자튀김 - 곱창국수 점심 / 바로 옆 음식점 감자튀김 70NT$ 12 ... $ - 편의점에서 이지카드 구매 100NT$ 12:00~12:20시먼딩시먼딩타이베이메인스테이션에서 1정거장 (지하철)대만의 명동 12:20~12:23곱창국수"곱창국수집 (아종몐센)" ... MRT)급행으로 탈것 - 완행시 약 50~55분 가량 선호 (파란색열차)" - 짐칸, 와이파이등 구비" 11:35~12:00타이베이처짠台北车站 - 일본 스시집에서 간단한 점심약 90NT
    리포트 | 3페이지 | 1,000원 | 등록일 2019.06.24
  • 한글파일 마이크로프로세서 text_lcd에 시간 나타내기
    SREG = 0x80; // 인터럽트 허용 //시간 출력하기 위해 반복 while(1) { Time_out(); if(cnt>=61) { cnt=0; //1초가 지났으므로 다시 카운트 ... 시간10의자리와 1의자리 char min10=0, min1=0; //출력시 분10의자리와 1의자리 char sec10=0, sec1=0; //출력시 초10의자리와 1의자리 char cnt ... 인터럽트. 1/16 * 1024 *256 = 16.384ms 16.384ms * 61 = 1s */ interrupt [TIM0_OVF] void timer0_int(void) { cnt
    리포트 | 5페이지 | 5,000원 | 등록일 2022.05.20
  • 한글파일 [나노생명공학과][대입][수시][대학 전공학과][학과소개][대학 학과가 보인다] 대학 <나노생명공학과> 소개 자료입니다. 개설 대학 및 졸업 후 진로와 고등학교 때 어떤 과목을 선택해야 하는지 상세히 설명되어 있습니다.
    나노생명공학과 생명나노공학은생명공학기술(Biotechnology,BT)과나노공학기술(Nanotechnology, NT)이 융합된 공학 분야로서 새로운 개념의 질병 진단 및 치료 기술을 ... 졸업 후 진로 국공립 연구소, 기업체 연구소, 생명공학 및 전기 전자 관련 기업체에서 BT, NT, IT의 공학적 융합 분야를 담당, 의치학 전문대학원, 약학전문대학원 진학 ?
    리포트 | 2페이지 | 2,500원 | 등록일 2022.04.02
  • 워드파일 시립대 전전설2 [7주차 결과] 레포트
    경우 cnt=0이 되고, CLK_DIV가 1이된다. (1/10 분주가 된다.) // RESETN =1인경우, cnt가 9가아니라면 cnt는 1은 증가한다. ... 만약 resetn=1인 경우에는 cnt가 9가 아니라 cnt는 1이 증가하게 설정을 하여 시뮬레이션 결과 원하던 분주파형을 얻을 수 있었다. ... (장비 검증은 안함) 0 에서 9 까지 count 한 후에 cnt=9 가 되면 cnt는 0으로 초기화 하는 동시에 분주 클럭 펄스 생성 Clock pulse code 해석 //변수
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 워드파일 건국대학교 마이크로프로세서응용 Lab9 A+
    = r16 .def FND_cnt= r17 .def FND_En= r18 .def FND_Data= r19 .def temp= r24 .macro SETSP ldi r24, low( ... 0x7F // Back Space #define TAP 0x09 #define CTRL_C 0x03 .def LEDdata= r5 .def SREG2= r11 .def Timer0cnt
    리포트 | 49페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 한글파일 방송통신대학교 C프로그래밍 만점(30점)받은 과제
    넣으시오. 2) 순서도(flowchart)를 작성하고 보고서 파일에 넣으시오. 1) 프로그램 소스 #include #include #include int num[20][20]={0},cnt
    방송통신대 | 4페이지 | 3,300원 | 등록일 2020.01.14 | 수정일 2020.09.02
  • 한글파일 정보통신공학과 7조 레포트2(timer1을 이용한 시계)
    char BYTE;#define CLR 0 #define SET 1 #define T_START 3036 // 매크로 상수BYTE tmr_flag;int tmr_cnt ... (TIMER1_OVF_vect) // TIMER1 oveflow 인터럽트{TCNT1 = T_START; // 인터럽트 초기화마다 동일주기설정if(++tmr_cnt ... == 1000) { // 1ms * 1000 = 1초를 만들기 위함tmr_cnt = 0;tmr_flag = SET;++ss;}}void Delay_us(BYTE time_us
    리포트 | 7페이지 | 3,000원 | 등록일 2019.07.29 | 수정일 2023.06.22
  • 파워포인트파일 삐도리의 인포그래픽 PPT 탬플릿 206 할인자료
    be here Sed ut perspiciatis unde omnis iste natus error sit volup m accus antium dolor emque lauda nt ... Title Here Sed ut perspiciatis unde omnis iste natus error sit volup m accus antium dolor emque lauda nt ... Title Here Sed ut perspiciatis unde omnis iste natus error sit volup m accus antium dolor emque lauda nt
    ppt테마 | 70페이지 | 1,500원 (5%↓) 1425원 | 등록일 2024.01.13
  • 워드파일 F291, Drawing & Document Receive Ledger(토목, 건축, 건설)
    Title Q'NT Usage Received Date Supervisor Site Manager ※ 도면, 변경도면, DCN, 승인된 FCR, 시방서, 구조계산서, 계산서 등의 접수를
    리포트 | 1페이지 | 1,000원 | 등록일 2023.01.06 | 수정일 2023.01.13
  • 한글파일 개구리밥 생태학 실험 보고서
    Nt는 배양액의 엽상체의 평균값을 의미하며 이를 자연로그 함수에 대입하여 넣은 값으로 x축은 날자 y축은 엽상체의 수에 로그를 씌운 값이다. ... Nt는 배양액의 엽상체의 평균값을 의미하며 이를 자연로그 함수에 대입하여 넣은 값으로 x축은 날자 y축은 엽상체의 수에 로그를 씌운 값이다. ... Nt는 배양액의 엽상체의 평균값을 의미하는데 이러한 엽상체에서 전날의 평균값으로 나누어준 값을 의미한다. 따라서 배양액에서의 성장 률을 의미한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.05.22
  • 한글파일 알고리즘 기초 홍릉과학 출판사 5장 솔루션
    ]\n");queen.queens(1);}void queens(int i){ if(promising(i)if(i == n) {System.out.println(" ... 그리시오.package test;public class QueensTest {static int n = 7;static int[] col = new int[n+1]; static int cnt
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업