• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(132)
  • 리포트(112)
  • 논문(13)
  • 시험자료(6)
  • 자기소개서(1)

"Binary vector" 검색결과 1-20 / 132건

  • 워드파일 디시설, 디지털시스템설계 실습과제 3주차 인하대
    Waveform 실습에서 배운대로 Radix를 binary로 변경하여 문제에 주어진 test vector와 비교하기 쉽게 만들었다. ... 시작과 끝의 입력 값을 모두 0000으로 초기화했고 #20마다 test vector를 변경해주었다. (마지막 0000은 캡쳐 안함) Waveform 확인결과 검증이 완료되었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 파워포인트파일 3D프린팅용 파일 이해
    입력되어 인접된 면과 normal vector 의 방향이 반대 방향일 경우 반전 면이 생기게 된다 . ... 표면의 법선 색상 , 투명도 좌표 및 데이터를 포함하고 , ply 포맷은 stl 포맷과 비슷하게 ASCII 형식과 binary 형식이 있다 . ... 출력용 파일의 종류와 특성 4) STL 포맷의 개념과 형식 종류 ❶ 삼각형의 세 똑짓점이 나열된 순서에 따른 오른손 법칙 사용 ❷ Normal Vector 를 축으로 반시계 방향으로
    리포트 | 22페이지 | 2,500원 | 등록일 2020.09.26
  • 파워포인트파일 삼성 전자(화상면접자료)
    “Construction of two- or three-weight binary linear codes from defining sets”, submitted in Journal of ... Received data r (r1, r2, … , rn) Decoded message Correct errors and remove n – k redundant symbols Error vector
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 한글파일 경북대 생명기술산업학 중간+기말 족보
    안토시아닌과 T-DNA region of the binary vector 기작 과정 설명 단원 전체 아우르는 질문으로 나옴 19. 20. ... + dual replicon vector + Edible vaccine 21. Gateway Cloning 기작 설명과 특징들 서술 22.
    시험자료 | 1페이지 | 5,000원 | 등록일 2021.02.11
  • 워드파일 이동통신 Matlab Simulink 시뮬레이션 과제 / Mobile communication simulation homework 1
    c 그리고 Output sample의 vector를 z라고 하면, z=xc를 만족하여야 한다. , 여기서 Zero-forcing equalizer의 output인 zk에서 ISI로 ... 경우에 대해서 전개하면 다음과 같은데, Input sample(received impulse response)의 Matrix를 x, Equalizer의 Coefficient들의 vector를 ... 위 Quantization에서 사용된 bits의 수 만큼 Binary code를 읽어 Quantized value로 변환한다.
    리포트 | 21페이지 | 3,000원 | 등록일 2020.09.04
  • 워드파일 고려대학교 객체지향프로그래밍 A+ 기말고사 치팅시트
    preventing or catching programmers’ mistake, -Usability: easy to reuse or modify the code Machine code: binary ... = tf.keras.layers.TextVectorization(max_tokens=10000, output_sequence_length=250) / vectorize_layer.adapt ... analysis, translation, text summary, chatbot Tensor: n-dimensional array(0d tensor: scalar, 1d tensor: vector
    리포트 | 2페이지 | 2,000원 | 등록일 2023.07.02
  • 워드파일 서포트 벡터 머신(Support Vector Machine, SVM)
    서포트 벡터 머신(Support Vector Machine, SVM) 서포트 벡터 머신(Support Vector Machine, SVM)의 이름은 알고리즘의 기본 원리와 핵심 개념에 ... 이진 분류의 직관적인 아이디어: SVM은 주로 이진 분류(binary classification) 문제에 사용되며, 이를 직관적으로 이해할 수 있습니다. ... 응용 사례들 서포트 벡터 머신(SVM)은 다양한 분야에서 사용되며, 다음은 그 중 몇 가지 대표적인 사례입니다: 이진 분류(Binary Classification): SVM은 이진
    리포트 | 6페이지 | 2,500원 | 등록일 2023.06.27
  • 파일확장자 Agrobacterium-mediated transformation (floral dipping method) -- 식물 형질전환 실험
    i. 실험목적형질전환이란? 원래의 세포가 가지고 있는 유전정보를 주로 박테리아 DNA 혹은 plasmid를 이용하여 새로운 세포에 유전정보를 삽입해 새로운 유전형질이 되도록 하는 분자생물학적 현상이다. 이러한 기술을 식물(옥수수, 애기장대 등)에 적용을 해 유전자변형생..
    리포트 | 4페이지 | 2,500원 | 등록일 2020.11.30
  • 파일확장자 GUS 유전자를 이용한 Agrobacterium 매개 Siberian Wildrye Grass의 형질전환 효율에 미치는 영향
    vector pCAMBIA3301 encoding the GUS gene in the T-DNA region. ... Seed-derived calli were infected and co-cultured with Agrobacterium tumefaciens carrying the binary
    논문 | 5페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 치료용생체고분자 중간고사
    가까운 매칭 상관성의 의미를 가지는 것 하나만 고르시오 (정답: 3 ) 보기: 지연가닥(lagging strand) - 오카자키절편(okazaki fragment) (1) 이분열(binary ... 비바이러스성 벡터(non-viral vector) (3) 만성폐쇄성폐질환(Chronic Obstructive Pulmonary Disease) - Alpha-1 antitrypsin ... Lentiviral vector (1) 5S rRNA - tRNA (2) Liposome - Lipid nanoparticles(LNPs) (3) TCR-T세포 - CAR-T세포 (
    시험자료 | 4페이지 | 2,000원 | 등록일 2022.04.15 | 수정일 2022.07.16
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    입력 A는 3비트이고 출력 Y는 8비트이므로 STD_LOGIC_VECTOR로 정의해주고, 각각 (2 downto 0), (7 downto 0)로 비트 크기를 설정해주었다.(5, 6행 ... decimal) BCD는 Binary-Coded Decimal을 줄인 말로 단어에서 알 수 있듯이 2진수, 10진수와 관련된 코드라는 것을 알 수 있다. ... 냄으로써 표현할 수 있다. 7 segment는 이와 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다. (4) BCD (binary_coded
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 파일확장자 Improved Cold Tolerance by Transformation with soybean SCOF-1 Gene in Populus alba
    (white poplar) by co-culture with Agrobacterium tumefaciens GV3101 that carried the binary vector, pGA643
    논문 | 11페이지 | 4,200원 | 등록일 2023.04.05
  • 워드파일 <컴퓨터 구조 및 설계>3장 컴퓨터연산 요약정리
    Arithmetic for Multimedia Graphics and media processing operates on vectors of 8-bit and 16-bit data ... 실수를 정규화(normalized)한 형태의 scientific notation으로 표현하면 좋은점 3가지 - 부동소수점 숫자를 포함한 자료의 교환 간단하게 함 - 부동소 증가 In binary ... MIPS의 나눗셈 명령은 오버플로를 무시하므로, 몫이 너무 커서 오버플로가 발생하는 소프트웨어로 검사해야함. 0으로 나누는것도 마찬가지이다. 3.5 부동소수점 Fraction(소수부분) Binary
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.10.12
  • 한글파일 R-CNN 영상 이미지 인식을 이용한 차량간 거리 추정
    이를 binary mask라고 합니다. ... 여기서 사용한 CNN은 미리 훈련된 AlexNet의 변형된 버전이며 CNN의 마지막 단계에서 support vector machine을 사용하여 이미지를 분류한다.
    리포트 | 12페이지 | 2,500원 | 등록일 2023.06.09
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    A와 Y는 8비트 시그널로, STD_LOGIC_VECTOR라는 data type이다. ... 디지털논리회로실험 3주차 실험 보고서 목적 - 일반적인 binary decoder와 encoder의 동작 원리를 이해한다. - 7-segment decoder의 동작원리를 이해한다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 경북대 식물생명공학 전범위 족보
    vector를 제작할 수 있는 점 등이 있다. ... 식물형질전환용 vector의 일반적인 구성요소들(3장) T-DNA 내부지역(LB-RB)와 T-DNA 외부지역이 있다. ... T-DNA의 필수적 특성은 short border sequences이라는 점, 종양형성유전자를 제거해도 형질전환된 식물조직이 식물체로 재분화가 가능하다는 점, Vir genes로 binary
    시험자료 | 8페이지 | 8,500원 | 등록일 2020.07.24
  • 한글파일 GMO와 안전성 기말고사 요약본
    Binary vector for plant transformation *plasmid vector의 특징 1. ... *binary-vector의 발전 - pBIN19: 최초로 개발된 binary vector. pGreen보다 커서 실험하기 어렵다. - pGreen : 다른 promote 이용 ●Bacillus ... E.coli 대장균 방사성이 없는 형광 조작 화학법. ②Green fluorescent protein gfp 해파리 파괴력이 없음 ③Luciferase luc 초파리, 비브리오세균 형광 *binary-vector
    리포트 | 6페이지 | 2,000원 | 등록일 2015.08.05
  • 워드파일 20071026155017 2007년자료구조기말고사
    다음의 binary tree에 15개의 key가 저장되어 있다. 이 tree를 아래의 rank-node relationships을 이용하여 vector로 구현한다. ... 아래와 같은 binary search tree가 있다. Insert(5), Remove(2)를 수행한 이후의 binary search tree의 구조를 그려라. (10점) 10. ... 이때 vector의 각 셀에 어떤 key값이 저장되는지 그려라. (20 points) rank-node relationships The root of the tree is stored
    리포트 | 3페이지 | 1,000원 | 등록일 2017.12.12
  • 한글파일 결과보고서 - Binary-to-BCD Convertor
    Simulator tool에서 Run simulation until all vector stimuli are used 대신 End simulation at 옵션을 선택하고 적당한 시간을 ... 실험 결과 이번 실험에서는 switch문을 사용하여 만들어진 4bit binary decoder를 사용하여 4bit binary를 8bit BCD로 변환하는 프로그램을 짜 보았다. ... Convertor 설계 실험목표 4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.11.08
  • 파일확장자 MP3 파일 분석기
    MP3 파일을 Binary로 읽어 내부 ID3 Header와 Tag를 분석합니다. ... 또한 폴더 내의 파일 추출 방법, 우클릭을 통한 Menu Popup 방법, File Drag & Drop Event, List Control, vector, future 등의 사용
    리포트 | 5,000원 | 등록일 2016.02.24 | 수정일 2022.08.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업