• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(336)
  • 리포트(265)
  • 자기소개서(53)
  • 방송통신대(14)
  • 시험자료(2)
  • 논문(1)
  • 이력서(1)

"집적회로 설계 과제" 검색결과 101-120 / 336건

  • 워드파일 2013년 1학기 컴퓨터의 이해 - 마이크로프로세서 발전과정, 산업에 기여한점, 최신동향, QR코드 조사 - 만점
    시대 초고밀도 집적회로 (Very-large-scale integration; VLSI)는 IC (집적회로) · LSI (고밀도집적회로)로 발전되어 온 전자회로 부품의 소형 경량화를 ... 마이크로프로세서가 개발되기 이전까지 CPU는 진공관이나 트랜지스터와 같은 단독 소자로 구성되거나 집적회로가 개발되고 나서도 많은 집적회로의 편성으로서 구성되어 왔었다. ... 정 의 마이크로프로세서( Micro Processor )는 컴퓨터의 중앙 처리 장치(CPU)를 하나의 집적 회로(IC) 칩에 집적시키거나 많으면 몇 개의 집적 회로집적시켜 만든
    리포트 | 8페이지 | 3,000원 | 등록일 2015.02.19
  • 한글파일 부산대학교 전자공학과 편입학 학업계획서/1차 서류 합격/ 전북대 반도체과학기술학과에서 부산대학교 전자공학으로 편입학/
    편입학 후, 저는 부산대학교에서 전자공학 분야에서도 ‘집적회로설계’와 관련된 학문을 전공하여 기업체의 회로설계 및 분석 분야에서 요구하는 전자회로 분석이나 설계에 관한 공부를 더 깊이 ... 전적대학에서 학습한 전공들을 응용하여 저의 목표인 방위산업분야에서 요구하는 회로 분석 능력을 키우기 위해 전자회로, 회로실험, 집적회로설계 등 심화 전공들을 학습하겠습니다. ... 단지 이론으로 그치지 않고, 이론의 내용을 실제 구현함으로써 개념을 확실히 정립하는 방식으로 공부를 하여 집적회로설계 연구 분야로 저의 역량을 성장시키겠습니다.
    자기소개서 | 4페이지 | 8,000원 | 등록일 2016.03.01 | 수정일 2019.01.21
  • 한글파일 Xilinx-ISE 응용 레포트 (7-segment)
    BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고 ... 사실 이전에도 BCD-7segment과제를 했었기 때문에 더욱 실패확률이 낮았을 것이다. ... 물론 이전에 해보았던 과제와는 다른 것을 해보는 것도 나쁘지 않았을 터이지만 똑같은 주제를 다른 프로그램을 통해 구현하는 것은 얼마나 차이가 다른지 확인해보고 싶었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 한글파일 신의손) 합격 한글 이력서
    공부내용 발표) - 전자회로 과목 복습 - VHDL 설계 실습 및 과제 수행 ▶ 성과 - 직접회로 관련 지식 및 업무 숙지 - VHDL Coding 능력 향상 경력 사항-2 2013.07 ... 연구실 학부연구생 ▶ 기관 소개 : ○○대학교 집적회로-Ultra Large Scale Integration Lab. ▶ 주요 업무 및 교육 내용 - 프로젝트 및 세미나 참여 (개인 ... Training 컴퓨터 엑셀(상), Power Point(상), 한글(상), Verilog(중), Primavera(하) 경력 사항-1 2012.06 ~ 2012.08 (10주) ○○대학교 집적회로
    이력서 | 17페이지 | 무료 | 등록일 2014.08.20 | 수정일 2016.01.10
  • 한글파일 경희대학교 논리회로 레포트
    배웠었는데, 이번 과제의 용어정리부터 상관관계를 살펴보니 앞으로 흥미롭지만 복잡한 논리회로 수업이 될 것 같은 생각이 든다. ... 그러나 일반적으로 속도가 느리고 복잡한 설계에 적용이 불가하며 소비전력이 크다는 단점이 있다. 8) SoC : 여러 가지 반도체 부품이 하나로 집적되는 기술 및 제품. ... HDL은 두 가지 종류의 시스템을 설계하기 위해 만들어졌다. 첫 번째는, 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로설계하기 위해 사용된다.
    리포트 | 3페이지 | 2,000원 | 등록일 2016.04.17
  • 한글파일 LIG넥스원 자소서
    ‘현대 IT문명의 씨앗’이라 불리는 이 집적회로는 잭 킬비 박사의 열정으로부터 태어났다고 생각합니다. ... 본인의 인생의 Role Model은 누구이며, 그 이유와 그분을 닮기 위해 현재 어떤 노력을 하고 있는지 구체적으로 기술해 주십시오. 988 제 인생의 Role Model은 집적회로를 ... 한편 H/W의 회로설계하고 스위치를 켜는 순간, 과전류로 Kinect가 터지는 일이 발생하기도 했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2014.04.20
  • 한글파일 존 폰 노이만 구조와 컴퓨터 세대별 특징
    진공관 컴퓨터를 제1세대, 트랜지스터의 제2세대, 집적회로(IC)의 제3세대, 그리고 대규모집적회로(LSI)·초대규모집적회로(VLSI)의 제4세대로 개발이 추진되어 왔다. ... 제5세대 컴퓨터의 최대 과제는 프로그래밍 언어의 문제이다. ... 기억장치 고밀도 집적회로(LSI) 보조 기억장치 자기디스크, 자기테이프 애플의 최초 컴퓨터 7. 5세대 인공지능(artificial intelligence:AI)이라고도 한다.
    리포트 | 24페이지 | 1,000원 | 등록일 2015.09.12 | 수정일 2015.11.01
  • 한글파일 현대인과 정보화사회 과제2
    제 3세대(1964~1979)는 집적회로(IC)를 사용하며, 기억장치는 자기코어이다. ... 제 4세대(1980~1994)는 대규모집적회로(LSI)를 사용하며, 기억장치는 반도체이다. 특징으로는 용량의 대형화, 초고속 처리, 가격의 대중화 등이 있다. ... 과제2 2. 컴퓨터의 세대의 특성을 간략히 설명하시오. 컴퓨터 세대의 특성을 희로소자, 기억장치, 특징으로 나누어 볼 것이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.05 | 수정일 2021.11.20
  • 한글파일 국제 마케팅 보고서
    집적회로, 원유, 상업용 항공기와 같은 글로벌 시장으로서의 대표 제품들은 전 세계적으로 같은 가격에 판매된다. ... 많은 중소기업들이 해결해야할 시급한 국제마케팅 과제 중 하나가 바로 OEM 상표로부터 고유 상표로 전환하는 일이다. ... 유통경로전략을 설계할 때는 우선 각국 시장의 소비자특성, 제품특성, 마케팅구조, 경쟁의 정도, 기업자체의 특성 등을 고려하여 기업의 장기목표와 부합되게 설계하여야 한다. 1) 국제
    리포트 | 5페이지 | 2,500원 | 등록일 2017.06.24
  • 한글파일 인하대학교 정보통신공학부 디지털집적회로 설계 hw1
    디지털 집적회로 설계 LAB HOMEWORK 1 1. Inverter (1) schematic (2) Layout (3) Spice file 2. ... 고찰 이번 과제는 그 동안 해왔던 inverter, nand, and 게이트를 직접 그려보고 spice 파일로 추출해 보는 과제 였습니다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.03.25
  • 한글파일 메인보드의이해
    중심적인 역할은 칩세트라는 대규모 집적회로(LSI)가 담당한다. ... 저는 컴퓨터는 리포트나 문서 작성 및 과제를 하는 용도로 취미로는 음악, 영화 감상을 하는 평범한 학생입니다. ... 설계 여하에 따라서 CPU 종류와 메모리 최대용량, 확장슬롯의 수량 등 기본성능이 규정되며, 시스템의 안정성도 밀접한 관계가 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2016.06.20 | 수정일 2021.06.19
  • 한글파일 감성기술의 종류를 열거하고, BCI기술의 개념과 특징을 설명하시오
    마이크로 머시닝 기술 : 집적회로(IC) 제조기술을 이용하여 가동부가 있는 3차원 구조의 센서를 미크론 단위로 미세 가공하는 기술 ? ... 산업 디자인 기술 ③ 제품설계에 활용할 수 있는 인간특성을 파악하는 기반기술 ? ... 과제명 [감성기술의 종류를 열거하고, BCI기술의 개념과 특징을 설명하시오.] 과목명 : 멀티미디어개론 이름 : 000 학번 : 000 1.
    리포트 | 4페이지 | 2,000원 | 등록일 2016.09.04 | 수정일 2020.04.27
  • 한글파일 아주대 논리회로실험 실험예비6 시프트레지스터와 카운터 (Shift Register & Counter)
    Serial In/Parallel Out 2) 집적회로 시프트 레지스터 A. 기본동작 (그림 3) B. ... 그리하여 자유자재로 Count-Up과 Count-Down 을 할 수 있는 회로설계 할 수 있다. (9) J-K F/F를 사용하여 10진 리플 카운터를 설계하라. < J-K F/F를 ... 설계하라. < NAND gate를 사용하여 구성한 Count-Up과 Count-Down할 수 있는 회로 > 비동기식 카운터를 기본으로 하여 NAND 게이트를 이용하여 스위치 역할을
    리포트 | 11페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 한글파일 [합격자소서]16년 하반기 SK하이닉스
    가장 어려웠던 점과 그것을 극복하기 위해 했던 행동과 생각, 결과에 대해 최대한 구체적으로 작성해 주십시오 [집을 포기한 프로젝트] 저에게 가장 어려웠던 경험은 3학년 2학기 ‘집적회로설계 ... H-spice라는 회로 설계 tool을 가지고 CLOCK 생성 하드웨어를 디자인하는 프로젝트였습니다. ... 그것은 과제는 PC를 통한 DC Supplier 자동제어였고 성공적으로 완수했지만, 그 과제에서 멈추지 않고 더 나아갔습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2016.12.13
  • 한글파일 지적재산권
    캐릭터산업 등과 관련된 지적재산권을 신지식재산권이라 한다. - 크게 컴퓨터 프로그램, 인공지능, 데이터베이스와 같은 "산업저작권", 반도체집적회로 배치설계, 생명공학과 같은 "첨단산업재산권 ... 인터넷 서비스 과제 1 - 지적재산권에 대하여 1) 지적재산권(지식재산권) 이란? - ‘지적재산권’ 이라는 말은 과거에 쓰였던 말이고 현재는 ‘지식재산권 ’이라는 말로 쓰 인다. ... 주로 기존의 기술을 개량한 실용적으로 유용한 기술로서 물품의 형상, 구조 또는 조합에 관한 것이다. (3) 신지식 재산권 - 컴퓨터 프로그램, 유전자조작동식물, 반도체설계, 인터넷,
    리포트 | 4페이지 | 1,000원 | 등록일 2015.12.09
  • 한글파일 설계04. AD DA 컨버터 응용 전기회로 설계 (예비레포트)
    AD/DA 컨버터 응용 전기회로 설계 1. 설계 목표 A/D 변환기와 D/A 변환기의 원리와 동작을 이해한 후 기본적인 변환기 회로를 이해하고 응용해본다. ... 또한 전기공학적 컨버터 응용회로 실습을 통해 회로설계능력을 기른다. 2. ... 래더형 D/A 변환기는 저항 선정이 간단하여 집적회로 설게시 유리하며 아날로그 출력값의 선형성이 양호하기 때문에 실제로 많이 사용되고 있다.
    리포트 | 5페이지 | 8,000원 | 등록일 2014.06.15 | 수정일 2020.04.26
  • 한글파일 카피라이트, 카피레프트 의미, 유래, 각각의 주장과 근거, 나의 의견 ( copyright and copyleft )
    여기에 덧붙여서 저작권, 컴퓨터프로그램, 그리고 최근에는 반도체 집적회로배치설계권, 부정경쟁방지법상의 영업비밀 등을 통 털어서 신지식재산권이라 호칭하고 있습니다. ... 정보사회에서는 이러한 지적 재산이 가장 큰 사회적 부의 원천이 됨에 따라 지적 재산의 생산을 촉진하는 것이 매우 주요한 정책 과제가 됩니다. ... 포함하는 건축저작물, 사진저작물, 영상저작물, 지도, 도표, 설계도, 약도, 모형과 그 밖의 도형저작물, 컴퓨터 프로그램 저작물 등이 있습니다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.12.24
  • 워드파일 SK 하이닉스 2015년 상품기획 합격 자기소개서
    또한, 집적회로공정실험을 수강, 학교 내의 Fab을 매주 방문해서 MOSFET을 제작하는 과정을 학습하고 공정과정을 직접 경험해보았습니다. ... 독일에서 아날로그회로설계를 배우며 Linux 기반의 Cadence Virtuoso를 이용해 증폭기 설계를 해보았습니다. ... 귀국한 뒤 졸업프로젝트에서는 SPICE를 이용해 PLL과 Transceiver를 설계해보았고, 논리회로설계를 통해 Verilog 또한 익혔습니다.
    자기소개서 | 6페이지 | 6,000원 | 등록일 2016.01.02 | 수정일 2019.04.27
  • 한글파일 실험19 카운터 회로 예비보고서
    동기식 카운터는 리플 카운터보다 전달속도가 매우 빠르고, 소형이며, 집적회로소자의 출현으로 이러한 형태의 카운터가 많이 사용되고 있다. ... 이러한 식으로 출력은 입력으로 받아서 클럭에 인가하는 것이 비동기식 카운터이다. (2에 회로 설계에 있어서 복잡하지 않다. ... 실제로 동기식 플립플롭의 경우에는 입력 값을 논리 소자를 통해서 설계를 해줘야 하는 반면 비동기식 플립플롭은 곧 바로 인가를 하면 간단히 회로가 구성 된다는 장점이 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 한글파일 인하대학교 정보통신공학부 디지털집적회로 설계 hw3
    디지털 집적회로 설계 LAB HOMEWORK3 1. Schematic 2. ... 고찰 이번 실습 시간과 과제는 2-to-1 mux를 layout 해보고 tr레벨로 설계해 보는 것이었습니다. ... 두 개의 인풋 신호에 의해 겹쳐지는 부분을 활용하여서 delay를 측정할 수 있도록 spice파일을 작성하였습니다. delay를 측정해본 결과 layout을 한 경우와 tr레벨로 설계
    리포트 | 5페이지 | 1,500원 | 등록일 2014.03.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업