• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(15,100)
  • 리포트(12,540)
  • 자기소개서(955)
  • 시험자료(551)
  • 서식(544)
  • 방송통신대(399)
  • 논문(73)
  • 기업보고서(19)
  • ppt테마(9)
  • 노하우(6)
  • 이력서(4)

"전자코드" 검색결과 1-20 / 15,100건

  • 워드파일 전자전기프로그래밍실습 기말고사 소스코드
    #define _CRT_SECURE_NO_WARNINGS #include #include typedef struct information { char name[50]; char phone ... [50]; char birthday[50]; }information_t; int main() { int menu, user = 0; char *ptr = NULL; FILE *list ... n1[50] = "", n2[50] = "", n3[50] = ""; char m1[50] = "", m2[50] = "", m3[50] = ""; char p1[50] = "",
    시험자료 | 5페이지 | 2,500원 | 등록일 2021.03.14
  • 한글파일 울산대학교 예비레포트 전자11장 달링톤,캐스코드 및 캐스코드 증폭기
    전자 11장 달링톤,캐스코드 및 캐스코드 증폭기 1.실험 목적 달링톤, 캐스코드, 캐스캐드 회로들의 동작을 분석하여 각 회로들의 특성을 확인한다. 2. ... Cascode 회로는 전압 증폭기 회로에서 자주 사용되는 구성 중 하나로, 고주파에서 사용되는 고성능 증폭기 회로이다. cascode는 두 개의 소자를 사용하는데 첫 번째 소자는 입력 ... {v1} APPROX - {r _{e2}} over {beta _{1} r _{e1}}가 된다 따라서 전체이득은 A _{v} =A _{v1} *A _{v2} APPROX -R _{c}
    리포트 | 2페이지 | 1,000원 | 등록일 2023.11.14 | 수정일 2023.11.17
  • 파일확장자 AVR 전자 피아노 만들기(ATmega,회로도,소스코드,음악)
    목 표 AVR 전자 피아노는 ATmegas128에 스피커와 8개의 스위치를 연결하여 전자 피아노의 기능을 구현한 작품이다. ... [‘AVR 전자 피아노 만들기‘ 본문 내용 中 발췌] Ⅰ. ... 이 문서에서는 AVR ATmega128을 이용하여 이러한 전자 피아노를 제작하는 방법에 대해 알아본다.
    리포트 | 12페이지 | 5,000원 | 등록일 2021.10.26 | 수정일 2021.11.01
  • 한글파일 전기전자공학 저항기 색코드와 저항값 측정 레포트
    저항기 색 코드와 저항값 측정 ◑과 목: 전기전자공학 및 실습 ◑분 반: ◑교 수: ◑실습연구원: ◑학 번: ◑이 름: ◑제 출 일: 한 국 기 술 교 육 대 학 교 실습 회로 표 ... 2-3 저항기 측정값과 색 코드 값 Register 1 2 3 4 5 First color band 녹 5 갈 1 갈 1 노 4 주 3 Second color band 청 6 흑 0 ... 보고서 : 전기전자공학 및 실습 제목 : CH2.
    리포트 | 5페이지 | 1,500원 | 등록일 2023.03.22
  • 한글파일 전기전자공학 저항기 색 코드와 저항값 측정
    결과 보고서 실험 01 저항기 색 코드와 저항값 측정 제 출 일 : 과 목 명 : 전기전자공학 담당교수 : 학 교 : 학 과 : 학 번 : 이 름 : 1 실험 개요(실험 목적 및 실험이론 ... 같은 식으로 가변 팔 C의 위치가 B의 위치에 있는 Completely CW의 측정값도 확인할 수 있다. 3 고찰 사항 색 코드로 표시된 고정 저항의 저항값을 측정하고, 가변저항기의 ... 저항기 색 코드의 형태 저항기 색 코드 ② 가변 저항기 가변 저항기는 가감저항기와 분압기 등 두 가지로 구분된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.03.25
  • 한글파일 전기전자공학 및 실습 저항기 색 코드와 저항값
    전기전자공학 실습 3주차(ch2) 학번 이름 분반 표2-3 Fist color band 갈(1) 등(3) 갈(1) Second color band 녹(5) 등(3) 흑(0) Third ... vom사용시 저항계의 눈금을 0 근처에서 읽은 값과 무한대 근처에서 읽은 값 중 어느 것이 더 신뢰성이 있는지 설명하시오 0근처의 눈금이 더욱 정확하다. 2.아래의 탄소 저항기의 색 코드를 ... color band 적(10^2) 적(10^3) 녹(10^5) Fourth color band 금5% 금5% 금5% Coded value OMEGA1.5 KAPPA OMEGA3.3
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.27
  • 한글파일 부경대 전자공학과 디지털시스템설계 수업과정 코드
    1. and-vhdllibrary ieee;use ieee.std_logic_1164.all;entity and_vhdl isport ( A, B : in std_logic;Y : out std_logic );end and_vhdl;architecture and_2 o..
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • 한글파일 전자공학응용실험 - 캐스코드증폭기 예비레포트
    q=%EC%98%A4%EC%8B%A4%EB%A1%9C%EC26 ... 캐스코드 증폭기 2. 실험 목적 : 이 실험에서는 MOSFET을 이용한 캐스코드 증폭기의 동작 원리를 공부하고, 실험을 통하여 특성을 측정하고자 한다. ... blogId=uu5626&logNo=221266905141&proxyReferer=https:%2F%2Fwww.google.com%2F [4] https://www.google.com
    리포트 | 9페이지 | 2,500원 | 등록일 2021.12.20 | 수정일 2021.12.22
  • 한글파일 전자공학응용실험 - 캐스코드증폭기 결과레포트
    캐스코드 증폭기 2. ... 고찰사항: (1) 캐스코드 증폭기가 공통 소스 증폭기에 비해서 가지는 장점과 단점을 논하시오. -> 캐스코드의 장점은 공통소스증폭기에 비해 전압이득이 더 커진다. ... 그러나 출력저항이 증가한다는 단점이 있다. (2) 캐스코드 증폭기의 출력 저항은 M1 트랜지스터 자체의 출력 저항에 비해서 얼마나 증가하였는가?
    리포트 | 3페이지 | 2,000원 | 등록일 2021.12.20 | 수정일 2021.12.23
  • 워드파일 실험14_전자회로실험_예비보고서_캐스코드 증폭기
    캐스코드 증폭기] 1. 제목 - 캐스코드 증폭기 2. ... 이를 이용하여 소신호 등가회로를 그리고, 실험회로 1의 캐스코드 증폭기 회로의 이론적인 전압 이득을 구하시오. ... 이때 캐스코드 증폭의 입력 - 출력 전압의 크기를 표에 기록하여 전압 이득을 구하고, 크기와 위상을 고려하여 입력전압, 출력 전압 파형을 캡처하여 기록하라.
    리포트 | 5페이지 | 2,500원 | 등록일 2024.01.09
  • 워드파일 실험14_전자회로실험_결과보고서_캐스코드 증폭기
    캐스코드 증폭기의 출력 저항은 , 트랜지스터 자체의 출력 저항에 비해서 얼마나 증가하였는가? ... 검토 및 평가 - 고찰사항 캐스코드 증폭기가 공통 소오스 증폭기에 비해서 가지는 장점과 단점을 논하시오. ... 수정된 실험 절차에서는 저항을 측정하지 않았기 때문에 캐스코드 증폭기와 및 트랜지스터 자체의 출력 저항을 비교할 수 없다.
    리포트 | 8페이지 | 2,500원 | 등록일 2024.01.09
  • 한글파일 전기전자공학실험-달링턴 및 캐스코드 증폭기 회로
    출처 - ELECTRONIC DEVICES AND CIRCUIT THEORY 전자회로[11판] - 위키 백과 https://cherryopatra.tistory.com/134 1. ... REPORT 제 23장 - 달링턴 및 캐스코드 증폭기 회로 1. ... 캐스코드증폭기 a. 그림 23-2에 주어진 캐스코드 증폭기의 직류 바이어스 전압과 전류를 계산하라. (바이어스 전류가 전압 분배기 전류보다 매우 작다고 가정하라.
    리포트 | 15페이지 | 2,000원 | 등록일 2023.02.14
  • 한글파일 전기전자공학기초실험-달링톤 및 캐스코드 증폭기
    전기전자기초실험 예비보고서 전자11장. 달링톤 및 캐스코드 증폭기 1. ... }(mA) I _{E2} =I _{C1}r _{e1}r _{e2} 80.0 80.0 19.0 92.4p 99.4p 92.4p 281M 261M 전기전자기초실험 결과보고서 전자 11장 ... 캐스코드 증폭기 회로는 C-E증폭기와 C-B 증폭기를 하나로 합친 형태로 직선성은 뒤떨어 지지만 초고역이 압도적으로 우수하기 때문에 증폭에 있 어서 광대역화와 함께, 오디오 회로에
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.02
  • 한글파일 [영문]전자공학응용실험 - 캐스코드증폭기 결과레포트
    be observed cutting up and down. ... As Vpp changed, the voltage gain was measured to be 16~19V/V, and it could be observed that the input-output ... Result Report Point : (1) Discuss the advantages and disadvantages of a cascode amplifier over a common
    리포트 | 4페이지 | 2,000원 | 등록일 2021.12.20
  • 워드파일 전자회로실험2_23장_달링턴 및 캐스코드 증폭기 회로
    /220971392265 [2] 전자회로실험 교재 예비보고서 전자회로실험2 실험일: 2022년 10 월 05 일 ... 참고문헌 [1] Hyperlink "https://blog.naver.com/gusehd2512/220971392265" https://blog.naver.com/gusehd2512 ... 캐스코드 회로 초단에 이미터 접지 증폭 회로, 다음 단에 베이스 접지 증폭 회로를 종속으로 접속한 증폭 회로이다. [캐스코드를 사용하는 이유?]
    리포트 | 11페이지 | 2,500원 | 등록일 2023.11.30
  • 한글파일 기초전자실험 - 23장 달링턴 및 캐스코드 증폭기 회로
    출처 - ELECTRONIC DEVICES AND CIRCUIT THEORY 전자회로[11판] - 위키 백과 https://cherryopatra.tistory.com/134 1. ... REPORT 제 23장 - 달링턴 및 캐스코드 증폭기 회로 1. ... 캐스코드증폭기 a. 그림 23-2에 주어진 캐스코드 증폭기의 직류 바이어스 전압과 전류를 계산하라. (바이어스 전류가 전압 분배기 전류보다 매우 작다고 가정하라.
    리포트 | 15페이지 | 2,000원 | 등록일 2023.02.19
  • 워드파일 전자회로실험 23장 달링턴 및 캐스코드 증폭기 회로 레포트
    결과보고서 전자회로실험2 ... Vi = 60mv c. 제거 후 무부하 상태의 측정 회로도를 구현하여 실험값을 구해보았다. ... Vo = 600mV 캐스코드 증폭기 캐스코드 증폭기의 직류 바이어스 전압과 전류를 계산. 직류 바이어스 전압 측정 후 기록 회로도를 구현하여 실험값을 구해보았다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.12.29
  • 한글파일 기초전자공학실험- 저항기 색 코드와 저항값 측정
    기초전자공학실험 리포트 조 : 3조 과목명 : 기초전자공학실험 교수명 : 학 과 : 전자공학과 학 번 : 작성자 : 실험 1. 저항기 색 코드와 저항값 측정 1. ... 이번 실험으로, 회로 소자에 대해 실제로 보고 측정해보니 회로에 대해 흥미가 생겼고, 더더욱 기초전자공학실험 과목에 열의가 생겼음을 알 수 있었다. ... 이때 저항 값은 표준 색 코드로 나타내며, 저항기의 몸체에 색 띠의 형태로 표시된다. 위 그림은, 저항기 색 코드를 적용한 그림이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.30 | 수정일 2020.06.08
  • 한글파일 전자회로실험) ch.14 캐스코드증폭기 예비보고서
    이전에 공부한 common-gate 증폭기의 특성으로부터 캐스코드 전류를 출력마디로 전달하는 반면, 계수 K를 소스저항에 곱해주는 것을 알 수 있다. ... 참고자료 단계별로 배우는 전자회로실험 마이크로 전자회로 7. pspice 8. ... 실험제목 - 캐스코드 증폭기 2. 주제 이 실험은 MOSFET를 이용한 캐스코드 증폭기의 동작 원리를 공부하고, 실험을통하여 특성을 측정하는 실험임.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.10.26
  • 한글파일 전자공학실험 14장 캐스코드 증폭기 A+ 예비보고서
    예비 보고서 실험 14_캐스코드 증폭기 과 목 명 : 전자공학실험 1 실험 개요 -이 실험에서는 MOSFET을 이용한 캐스코드 증폭기의 동작 원리를 공부하고, 실험을 통하여 특성을 ... 캐스코드 증폭기의 바이어스 조건 및 출력 스윙 [그림 14-6]에서 캐스코드 증폭기의 바이어스 조건은 M _{1}과 M _{2}의 동작 영역 조건에 의해서 결정된다. ... 캐스코드 증폭기는 공통 소오스 증폭기보다 높은 전압 이득을 얻을 수 있어서 널리 사용되고 있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2024.04.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업