• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(11,484)
  • 리포트(10,207)
  • 시험자료(531)
  • 자기소개서(434)
  • 방송통신대(197)
  • 논문(91)
  • 서식(20)
  • 이력서(2)
  • ppt테마(2)

"신호등 제어기" 검색결과 1-20 / 11,484건

  • 한글파일 교통신호등 제어기 VHDL 설계
    ▣ 교통신호등 제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 수행 및 제출(4) 교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    .--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 한글파일 신호등제어기 Verilog
    신호등 제어기】 문제 - 신호등 제어기 교차로에서 신호등이 4개가 있음. ... 따라서, 4개 신호등은 2개의 신호등으로 제어기를 만들면 된다. 즉,제어기 2개 쓰면 4개까지 control가능하다. ... (좌회전은 고려하지 않음) 신호등은 녹, 주, 빨이 있는데 녹색은 10초, 주황색은 2초, 빨간색은 12초 동안 유지하도록 신호등제어하시오. ① State Diagram 북쪽과
    리포트 | 5페이지 | 2,000원 | 등록일 2009.04.21
  • 한글파일 FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계 1. ... 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음). ... 고찰 본 과제에서 신호등 제어기를 Moore state machine으로 구현하였는데, Moore state machine은 출력이 state에 따라 나타나기 때문에 다음 state를
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 한글파일 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 제목 : 4차선 신호등 제어기 설계2. ... 실습 목적 : 앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을 ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 파일확장자 [디지털시스템] 신호등 제어기
    일상생활에주로사용되고쉽게접할수있는사거리신호등제어기를제작. TTL-IC를이용하여직접회로설계하고PCB위에구현하여동작.
    리포트 | 20페이지 | 5,000원 | 등록일 2008.12.27
  • 한글파일 자일링스(Xilinx)를 이용한 신호등 제어기 설계
    2) 센서를 통한 교통시스템 제어 교통신호등을 보면 빨간색,초록색,노란색, 좌회전 등이 반복되는 것을 볼 수 있다. ... 내용 2.1 목표설정 사거리 신호등 제어 (4거리에 신호등이 있고, 그에 따른 횡단보도가 각각 있으며, 센서로 교통량을 감지) 우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전 ... , 초록의 4개의 신호를 가진다. 1) 사거리 제어와 횡단보도 우선 왼쪽의 그림을 보면 사거리에 4개의 신호등이 있고 8개의 횡단보도가 있는것을 볼 수 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • 워드파일 FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    고찰 이번 실험에서는 신호등 제어기 모듈을 설계하였다. ... 실험이론 이번 실험에서는 신호등제어하는 제어기를 설계할 것이다. 이를 설계하기 위해 유한상태머신이라는 개념을 이용할 것이다. ... 이번에 설계하는 신호등 제어기는 고속도로(Highway)와 국도(Farmway)의 교차로를 모델로 하여 국도 양 끝에 존재하는 센서의 입력에 따라 신호등의 상태가 결정되도록 제어하는
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 파워포인트파일 신호등 제어기 설계제안서 PPT
    신호등 제어기 설계 제안서 15 - 1 프로젝트 목표 - 실험 시간에 배운 내용을 토대로 신호등의 원리를 이해하고 기본적인 회로를 설계할 수 있다 . ... 15 - 4 일반적으로 전자 신호등은 BLUE- YELLOW- ARROW- YELLOW- RED 순으로 점등하게 되어 있다 . ... 수 있다 . 15 - 2 프로젝트 설명 디지털 논리 설계 및 회로이론 , 기초 논리 회론 시간에 배운 이론을 바탕으로 창의적인 사고를 함으로써 실생활에서 흔히 볼 수 있는 사거리 신호등
    리포트 | 15페이지 | 3,000원 | 등록일 2008.12.29 | 수정일 2015.12.14
  • 한글파일 [디지털 논리]사거리 신호등 제어기
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 프로젝트를 수행하였다. ... 이 모드에서 회로는 자주 발진하지 않고 입력에 트리거 펄스가 가해질때마다 미리 정해진 시간만큼 지속되는 단일 펄스를 만들어 낸다. ▲555 내부회로도 ◀전압제어발진기 ♧이 부품의 데이터시트 ... 보행자: 정지 ▷거리 신호등 북쪽,남쪽 신호등: 빨간불 ? 자동차:정지 서쪽,동쪽 신호등: 파란불 ? 자동차:직진 ♣패턴2 ▷횡단보도 북쪽,남쪽 횡단보도: 파란불 ?
    리포트 | 22페이지 | 3,000원 | 등록일 2006.06.26 | 수정일 2016.11.21
  • 파일확장자 Max+plue[VHDL]를 이용한 네거리 신호등 제어기 설계
    네거리 신호등 제어기 설계 ⑴ 설계 개요 네거리 신호등은 남북방향의 3개의 불빛(빨간불, 노란불, 파란불)과 동서방향의 3개의 불빛(빨간불, 노란불, 파란불) 총 6개의 불빛이 ... 신호등의 6개의 불빛을 light : buffer std_logic_vector(5 downto 0)로 나타내었습니다. ... 신호등이 커져 있는 시간은 빨간불과 파란불 상태에서 각각 3 clock 동안 유지하고, 노란불 상태에서는 1 clock을 유지한다고 가정했습니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2007.06.27
  • 한글파일 논리회로 신호등 제어기(교차로-2센서) 설계
    신호등 제어기(교차로-2센서) 설계 현재상태 입력 다음상태 출력 A B C D WT ET A+ B+ C+ D+ EWR EWY EWG NSR NSY NSG 0 0 0 0 X X 0 ... 제어기(교차로-2센서) 상태표> 1.구현하고자하는 회로의 부울식을 구한다. ... 0 1 1 0 1 X X 0 0 0 0 0 0 0 0 0 0 1 1 1 0 X X 0 0 0 0 0 0 0 0 0 0 1 1 1 1 X X 0 0 0 0 0 0 0 0 0 0
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • 한글파일 논리회로실험_신호등(사거리) 레포트
    실험 내용 남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다. 1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 출력 값이 중복되는 경우가 있기에 회로의 최적화를 위하여 불필요한 경우를 줄이게 되면 최종 상태는 표 2와 같다. 2) State Transition Diagram 그림 1 교통신호제어기 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다. 3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 기계과 레포트 - PLC
    , 교통신호 제어 등 민간 애플리케이션에도 사용된다. ... 금형 부품 등의 제조, 자동차, 제철, 물류, 화학, 식품 등의 기계설비나 시스템장치를 제어하고 작동시켜야하는 다양한 산업플랜트가 있으며 산업 목적뿐만 아니라 세탁기, 엘리베이터 작동 ... 제어기가 수집하는로 기계를 제어하거나 여러 가지의 원하는 로직, 시퀀스대로 작동하게 하고 싶을 때 많이 사용한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.05.29 | 수정일 2022.03.21
  • 한글파일 전자회로계측 다이오드
    교차 신호등 제어 1. 브레드보드에 6개의 LED를 두 방향으로 신호등과 같이 교차해 설치한다. 2. ... LED로 구성한 교차 신호등의 수동 / 자동 제어를 시행하고 각 제어의 방식을 이해했다. 축전기 필터 회로는 교류를 직류로 필터링해줄 때 사용될 수 있다. ... 마지막으로 신호등의 자동 제어가 잘 작동하는지 확인한다. Ⅲ. 다이오드 반파 정류 회로 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.06.01
  • 파일확장자 기계과 졸업논문 크레인의 잔류진동 제거
    트롤리의 위치와 부하의 흔들림을 제어하기 위해 PID제어기를 이용하여 트롤리와 부하의 각각의 동특성에 기초한 보상기를 이용하여 제어하는 방식 등이 제안된 바 있다. ... 하지만, 이 방법은 시스템의 특성에 따라 제어기를 설계해야 하며 되먹임을 위한 측정장치 및 제어장치를 추가적으로 장착해야하므로 시스템이 복잡해지게 된다. ... 입력성형제어는 로직이 간단하고, 디지털 기술로 구현이 쉽기 때문에 오늘날 산업현장에서 널리 사용되고 있다.1.2 입력성형제어기의 원리 입력성형제어는 기본적으로 컴퓨터 조작장치에서 잔류진동을
    논문 | 31페이지 | 3,000원 | 등록일 2023.06.22
  • 파워포인트파일 A+ 받은 모의주식투자보고서 PPT
    기가와이어 집에 있는 WIFI 단말기 등 에 주로 사용 주력 매출 상품 광트랜시버 광신호를 전기신호로 변화시키는 장비 XGSPON 5G, 6G 연결에 사용되는 제품 기지국 연결 시 ... 제품 / 사업 : 협동로봇 , 협동로 봇 자동화 플랫폼 , 로봇제어기 제조 5. 특징 : 로봇관련주 기업 주요 제품 소개 뉴로메카 02. ... 로봇테마와 꾸준한 매출증가 5/4 매수 (35900 원 , 1 억 1600 만원 ) 5/15 매도 (36700 원 , 1 억 1800 만원 ) 매수 매도 03 투자결과 순위 28 등
    ppt테마 | 23페이지 | 2,000원 | 등록일 2024.03.16
  • 파일확장자 Radar Target Extractor에 의한 선박운동정보의 추출에 관한 연구
    스텝외란 외의 주기성 외란 인가시 2자유도 적분형 최적제어계의 외란제거 방안 등이 검토되어야 할 것으로 사료된다.중방사음역에 있어서의 시간응답특성을 조사한 결과, 그 응답특성은 송신전압감도의 ... 이 경우, 표적식별 알고리즘은 물표가 미리 설정한 물표포착영역(target acquiring zone)내에 있고, 해당 물표의 크기와 다른 물표와의 거리등에 대한 데이터가 식별기준을 ... 이상의 결과로부터 본 연구에서 설계, 제작한 이중정합층을 갖는 복합구조 초음파 변환기는 단순구조의 Tonpilz형 초음파 변환기와 비교하여 비록 송파전압감도에 있어서는 약 5 dB
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 제어계측공학과 졸업작품 『BLDC모터를 이용한 태양광 자동차』.
    . ②전류제어회로 - 부하의 크기에 따라 MOTOR에 흐르는 전류를 검출하여 회전속도에 맞게 제어한다. ③LOGIC회로 - HALL IC에서 FEEDBACK신호를 받아 ROTOR의 ... 이밖에도 오염배출량이 적은 기관, 연료의 연소율을 높이는 촉매, 배기가스 재순환 시스템, 기존의 기화기를 대신하는 연료주입장치 등이 개발되는 등 오염방지와 관련해 커다란 기술적 진전을 ... (d)준 정전압 충전 충전기의 발생전압을 일정하게 두고, 충전기 내부에 직렬저항을 접속 하든지, 또는 충전기 내의 전원 Trance에 저항분이 많은 것 등을 이용 하여 충전초기에 대전류가
    논문 | 32페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업