• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14,580)
  • 리포트(12,570)
  • 자기소개서(1,049)
  • 시험자료(490)
  • 방송통신대(230)
  • 논문(154)
  • 서식(78)
  • 이력서(4)
  • ppt테마(4)
  • 기업보고서(1)

"신호등 설계" 검색결과 1-20 / 14,580건

  • 파일확장자 PIC Microcontroller를 이용한 신호등 제어 설계
    해양환경안전학회 해양환경안전학회 학술대회 논문집 양윤석, 브엉득푹, 안병원, 배철오
    논문 | 3페이지 | 3,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 교육공학, 수업지도안 설계, 안전하게 신호등 건너기
    【교육공학 】 수업지도안 ■ 학번 : ■ 성명 : ■ 교수목표 : 초등학교 1학년 학생들은 신호등이 설치된 횡단보도를 안전하게 건 널 수 있다. ... “동영상에서처럼 나에게 사고 가 나면 어떤 점이 힘들 것인지” 학생들에게 질문한다. * 교사의 질문에 2-3명이 간단하게 답변한다. * 애니메이션 동영상 5분 학습목표 인지 * 신호등이 ... 파란불과 빨간불의 의미를 설명해 준다. * 횡단보도의 역할을 답해 본다. * 파란불과 빨간불의 의미를 답해본다. * 횡단보도 , 신호등 사진 3분 학습 활동 안내 (횡단보도를 안전하게
    방송통신대 | 3페이지 | 3,000원 | 등록일 2024.03.06
  • 한글파일 교통신호등 제어기 VHDL 설계
    ▣ 교통신호등 제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지 ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 디지털시스템설계 신호등설계 VHDL A+자료
    상태NEXT_STATE : 다음상태에 올 신호등 변화여부NEXT_STATE_WORD : 다음상태에 올 신호등 상태입력 값 SIG_V와 SIG_P의 값을 저장하는 SIGVorP의 값이 ... ‘RST=1’ 일 때, 기기 초기화 조건이므로 ‘SIGVorP’에 초기조건 ‘zero’라는 값을 저장한다.또는 현재상태가 ‘D‘ 상태, 즉 AVE STREET과 보행자 신호등의 초록등이 ... CLK RST SIG_VSIG_P
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 [조선대 메카트로닉스설계 A+] Labview 코딩설명서 : 신호등
    메카트로닉스설계 코딩설명서 1. ... REPORT 메카트로닉스설계 코딩설명서 담당교수 : 수강과목 : 메카트로닉스설계01분반 학과 : 기계공학과 학번 : 이름 : 제출일 : 2020년 5월 25일 월요일 < 차례 > 1 ... 신호등 프로그램 1) 신호등 프로그램 UI 2) 신호등 프로그램 구현 (1) Main Source Code (2) 사용자 컨트롤 - 신호등 상태 (3) Sub VI - 신호등 조건체크
    리포트 | 8페이지 | 1,500원 | 등록일 2020.08.14
  • 파일확장자 A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님께서 말씀하신 ... signal을 정의하였습니다.--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 파워포인트파일 신호등 설계
    결 과 6조♥ 설 계 내 용 설계 주제에 맞도록 7-segment, LED, Text-LCD, Piezo, TFT-LCD, 스텝모터 등 구현방법 익히고 보행자를 위한 신호등 제작 6조 ... TFT-LCD에서 파란색불일때 LCD화면에 파란색 신호등을 띄우고 빨간색불일때 에는 빨간색신호등을 띄운다. 6조♥ Trade-Off Piezo 소리를 조절해 주기 위해서는 주파수를 ... 6조♥ “우리에게 필요한 보행자 신호등” 6조♥ 목 차 1. 설 계 내 용 2.소 스 분 석 3. Block Diagram 4. Trade Off 4.
    리포트 | 15페이지 | 2,000원 | 등록일 2015.01.22
  • 한글파일 신호등 설계
    구조의 형상 2. ... 처음 신호등설계라는 레포트를 받앗을때 어떡게 해야할지 막막햇습니다. ... 결과 검토 모든 응력이 허용응력을 만족함 처음에 저희가 찾은 신호등에는 신호등만 3개인데다가 케이블까지 붙어져 있어서 설계하는데 꽤 많은 어려움을 가졌습니다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.10.31
  • 한글파일 고급디지털회로 신호등 설계
    - 과제 제안서 일정한 시간에 따라 경로에 대한 신호를 FPGA보드로 구현한다. ... 이름에 의한 신호 연결 traffic U2 (.mclk(mclk3), .clr(clr), .lights(Led) ); endmodule ------------------------ ... 변수의 선언 wire mclk3; wire clr; assign clr = btn[3]; clkdiv U1 (.mclk(mclk), .clr(clr), .mclk3(mclk3));//신호
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.22
  • 파일확장자 <<[AVR] 교차로 신호등 시스템 제작>>교차로 신호등,사거리 신호등,소스코드,회로도,ATmega128,신호등의 원리,동작 타임차트,4색 신호등,신호체계,신호등 순서,전자 신호등 설계
    사지 교차로에서 신호등은 도로신호등은 4개, 보행자 신호등 4개가 존재하며(중복되는 신호등 제외) 신호등은 [ 적, 황, 녹(좌회전), 녹 ]의 4색 체계를 사용한다. ... 타임차트를 통해 교차로 신호등의 기본 시스템에 대해서 알아보고 교차로 모형에 LED를 배치하여 도로 신호등과 보행자 신호등을 구현하여 교차로 신호등 시스템의 원리와 동작에 대해서 알아보도록 ... 타임 차트에 표현되었듯이 교차로 신호등 시스템은 6단계의 과정을 순차적으로 반복한다. 그리고 각 과정마다 신호등의 진행시간을 가진다.
    리포트 | 15페이지 | 4,000원 | 등록일 2016.12.26 | 수정일 2017.04.20
  • 파일확장자 SVA를 이용한 교통 신호등 로직 설계
    구현된 교통 신호등 논리 회로를 SVA를 이용하여 상태 천이를 check하는데 있다.
    리포트 | 3,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • 파워포인트파일 스마트 신호등 - Smart traffic lights Design - VLSI설계
    BACK ☜ 설계 결과 2 계획서와 설계작품 비교분석 및 고찰 최대 6bit 를 이용한 카운터와 비교기를 이용하여 신호등 4 개를 전부 설계 신호등 4 개 계획서 신호등 1 개 신호등 ... 설계배경 설계 결과 결론 및 제작후기 1 2 3 설계 배경 1 설계작품의 필요성 설계 배경 1 설계목적 ○ 교통체증을 해결 ○ 교통량에 따라 신호등 제어 ○ 교통사고를 완화 설계 배경 ... 1 기존 유사제품과의 비교 스마트 신호등 회전 교차로 설계 배경 1 설계작품의 창의성 설계작품의 창의성 - 효율적인 교통체증의 완화 기대 차량의 대수를 난수 발생기로 대체 기대효과
    리포트 | 19페이지 | 3,500원 | 등록일 2017.11.16
  • 한글파일 사거리신호등 디지털회로설계 프로젝트
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 설계과제를 수행하였다. ... 연구내용 2.1 개념도 2.2 설계 주요내용 타이머 설계 타이머에 나온신호를 10진카운터에 입력 세그먼트에서 AND게이트로 신호를 묶어준다 인버트를 통해 받은신호를 OR게이트로 묶어준다 ... 신호등 점등 LED 전체 사거리 신호등 - 데이터시트 관련 주요부분 포함 74LS08 AND게이트 74LS42 세그먼트 NE555 타이머 74LS32 OR게이트 74LS04 인버터
    리포트 | 4페이지 | 3,000원 | 등록일 2014.12.21
  • 한글파일 설계1 신호등시스템
    신호등 설계문제 [문제 설명] ? 아래와 같은 사거리와 신호등이 있다. 주도로에 교통량이 많고 부도로는 가끔 자동차가 다닌다. ? ... 주도로 신호등 : Rm, Ym, Gm ? 부도로 신호등 : Rs, Ys, Gs ? 부도로 자동차 진입 감지신호 : Vs ? 긴시간 타이머 : 트리거신호 TL, 펄스신호 PL ? ... 신호등이 녹색이었다가 다른 도로가 통행되어야 할 때 녹색등은 적어도 긴시간(10초) 켜져있어야 한다. ?
    시험자료 | 8페이지 | 1,500원 | 등록일 2011.09.16
  • 한글파일 논리회로 카운터 신호등 설계
    이번 설계에 있어서도 총 15clock의 조건이 있어 4비트 (16개 수 표현) UP 카운터를 통한 신호등을 만들었습니다. ◇ 설계 고찰 및 문제점 해결 4거리 신호등 설계에 있어 ... (설계에 있어서는 하나의 신호등만 표현했으므로, 빨간 신호는 1clock만 표현 하였습니다.) ◇ 기타 카운터를 이용한 신호등을 확장 해보았을 때, clock조절 및 추가적인 회로를 ... 따라서 4bit Counter을 통해서도 신호등 설계를 할 수 있다는 것을 알 수 있었습니다.
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • 파워포인트파일 [디지털신호처리][DSP] 디지털 필터 설계(LPF,버터워스,체비셰프,백색잡음 등)
    02 백색소음 05 디지털 신호처리 고찰사항 06 목적 01 Filter 가 무엇인지 알고 , 디지털 필터를 설계해 본다 . 디지털 신호처리 Filter 란 ? ... 디지털 신호처리 디지털 필터 는 특정한 성질의 결과를 얻기 위해서 이산신호를 처리하는 장치나 알고리즘을 말한다 . ... 02 필터 는 입력되는 신호의 주파수 , 위상 , 진폭 중에서 특정한 범위 내의 주파수를 갖는 신호를 추출하거나 제거한다 .
    리포트 | 18페이지 | 2,500원 | 등록일 2018.05.01 | 수정일 2019.12.04
  • 파일확장자 verilog HDL을 사용한 신호등 for 교통체증 설계~!
    - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 신호등신호를 변화시킴 Keypad - 임의의 값을 설정하여 Password 역할을 함 ... - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 LED의 출력 값 변화 Bus SW - 임의의 값을 설정하여 Password 역할을 함 신호등
    리포트 | 3,000원 | 등록일 2015.01.01
  • 파일확장자 교통신호등 설계를 위한 SVA와 PSL의 이용 예제
    리포트 | 4,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업