• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,015)
  • 리포트(4,429)
  • 자기소개서(458)
  • 시험자료(56)
  • 방송통신대(41)
  • 논문(18)
  • 서식(6)
  • 이력서(5)
  • ppt테마(2)

"디지털공학실험" 검색결과 1-20 / 5,015건

  • 한글파일 디지털공학 실험-논리게이트
    실험 5 논리게이트2 5번 - 실험 7 부울의 법칙 및 드모르간의 정리 1번 - A(A+B)+C =AA+AB+C =A+AB+C = A(1+B)+C =A+C 실험 7 부울의 법칙 및 ... 고찰 이번 실험은 NAND, NOR, OR, XOR 논리게이트를 이용하여 게이트의 특성을 알고 입력값에 따른 출력값을 측정하였다. ... 평가 및 복습문제 실험 4 논리게이트1 2번 -온도 또는 압력이 과도하게 높을 때 경보회로가 울려야 한다. 둘 중 한 조건이 참일 때 LOW 신호이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 한글파일 [디지털공학 실험] 멀티플렉서를 이용한 조합논리
    참고 문헌 David Buchla, 『디지털공학 실험』, 도서출판 그린 M. ... 참고 문헌 David Buchla, 『디지털공학 실험』, 도서출판 그린 M. ... 멀티플레서와 디멀티플렉서는 디지털 논리에서 널리 응용되고 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • 워드파일 [A+] 디지털공학실험 JK 플립 플롭
    디지털공학실험 JK 플립 플롭 Ⅰ. ... 실험 방법 실험순서1. (PRE와 CLR 입력 관찰) 그림 17-2(a)와 같이 회로를 구성한다. PRE와 CLR에 HIGH (비활성 레벨)을 설정한다. ... 실험순서 9 (R2 양단을 단락시킨 후의 관찰) 실험순서 8의 파형을 관찰하면서 R2 양단을 도선으로 단락시켜라. 도선을 제거하고 관찰된 내용을 보고서에 기록한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 한글파일 디지털공학실험 동기카운터설계 예비리포트
    m_temp1=4754 블로그 도리의 디지털 라이프 http://blog.skby.net/%EB%8F%99%EA%B8%B0%EC%8B%9D-%EC%B9%B4%EC%9A%B4%ED%84%
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.19
  • 한글파일 디지털 공학 실험 논리 회로의 간소화 결과레포트
    결과 레포트 디지털 공학 실험 논리 회로의 간소화 무효 BCD-코드 감지기 진리표에 대한 Karnaugh 맵 ● 실험 결과 입력 출력 D C B A X 0 0 0 0 0 0 0 0 ... 논리 회로의 간소화 실험을 했다. 113페이지의 실험디지털 공학 시간에 배운 BCD 코드에 관련된 내용이여서 이해가 쉬웠다. 1010 이상의 수는 무효하기 때문에 출력값이 1이 ... 이번 실험을 하면서 사소한 것이라도 놓치면 실험이 아예 진행될 수 없다는 것을 깨닫게 되었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.05.04
  • 한글파일 [디지털공학 실험] 논리게이트,부울의법칙,드모르간의정리
    실험 목적-실험을 통한 NAND, NOR 및 인버터 게이트의 진리표 작성-NAND 와 NOR 게이트를 이용한 다른 기본 논리 게이트의 구성-ANSI/IEEE 표준 91-1984 논리 ... 실험 장비 -7400 quad 2-입력 NAND 게이트-7402 quad 2-입력 NOR 게이트-1.0KΩ 저항4.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.20
  • 한글파일 [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    실험 목적 7조 제출일자 팀원이름(학번) 설계 목표 ● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다. - SET 단자를 누를 시 시계의 동작 기능을 한다 ... 1589239 빵판에다 만드는 디지털 시계(Digital Clock) https://www.youtube.com/watch? ... 참고자료 http://murcielrago.tistory.com/13 [디지털 클락] Digital Clock 제작에 필요한 IC Chip http://rabe.egloos.com/
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 7-segment
    디지털 공학’ 수업에서 배운 karnaugh map을 이용하여 숫자의 각 획을 구성하는 a~g에 대한 논리식을 간소화 시켜서 원하는 기능을 구현할 수 있었다. ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)] 2. 실험 결과 3. ... 고찰 이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 한글파일 [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    전기실험 전기실험 디지털 공학 실험 레포트 1장(문제풀이) 홀수 문제의 정답은 책 끝 부분에 있다. 1-1절 디지털 양과 아날로그 양 1. ... 설계입력- 기능 모의실험- 합성- 구현- 타이밍 모의실험- 다운로드 실험 ... 컴퓨터는 모두 디지털이다. 1-2절 2진 숫자, 논리 레벨과 디지털 파형 4.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 워드파일 [전자공학응용실험] 아날로그 디지털 변환기(ADC) 결과레포트
    Discussions 이번 실험에서는 아날로그-디지털 변환기의 동작과 성능을 평가하는 여러 파라미터들을 알아보았다. ... 이는 실험으로 확인한 결과이며 Simulation에서도 마찬가지로 확인이 되었다. 3. ... 아날로그 - 디지털 변환기 1. Experimental Results 2.
    리포트 | 3페이지 | 2,000원 | 등록일 2022.12.19
  • 워드파일 [전자공학응용실험] 아날로그 디지털 변환기(ADC) 예비레포트
    Object 이번 실험에서는 ADC, 아날로그 신호를 디지털로 신호로 변환하는 아날로그-디지털 변환기의 동작과 원리 성능 파라미터에 대해 확인하고자 한다. ... Equipment and instruments DC Power supply Resistor Digital multimeter Capacitor Function generator Oscilloscope ... 이번 실험에서는 6Vpp의 사인파를 인가하기에 다음과 같이 그릴 수 있다. 좌측은 이번 실험의 Pspice이다. 3개의 기준전압을 만들어 출력을 확인하였다.
    리포트 | 5페이지 | 2,500원 | 등록일 2022.12.19
  • 한글파일 전자공학응용실험 - 아날로그-디지털 변환기 예비레포트
    실험 제목 : 실험 28. 아날로그-디지털 변환기 2. ... 측정 대상의 이름을 따서 VOM(Volt-Ohm-Milli amperemeter)이라고도 하며, 아날로그(Analog)형과 디지털(Digital)형이 있다. [2] 3) 함수발생기: ... 실험 목적 : 이 실험에서는 아날로그 신호를 디지털 신호로 변환해주는 아날로그-디지털 변환기의 기본 동작 원리 및 성능 파라미터를 이해하고, 실제 회로를 구성하여 이론적인 내용을 확인하고자
    리포트 | 9페이지 | 2,500원 | 등록일 2021.12.20
  • 한글파일 디지털 공학 실험 XILINX 결과레포트 hlaf, full, 4-bit adder
    디지털 공학’ 수업에서 배운 half adder 와 full adder를 karnaugh map을 이용하여 간소화 시키고 그 둘을 합쳐서 4bit adder의 논리식도 구할 수 있었다 ... 고찰 이번실험도 저번실험과 마찬가지로 verilog를 사용하여 코드를 작성하고 FPGA를 통해 검증을 하는 실험이었다. ... 저번 실험이 논리 게이트 였다면, 이번 실험은 심화버전인 half adder, full adder, 4bit adder를 직접 구현해 보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.06.21
  • 파일확장자 기계공학실험2 [A+인증] 3D Digitizing 보고서
    실험 요약1.1 실험 목적 3D Digitizer의 측정 원리를 이해하고 측정한 데이터를 바탕으로 각 점의 좌표를 계산하여 3차원 좌표계 상에 표시할 수 있다.1.2 결과 개요 ... 결과로 받은 digitizing_data.txt 의 전압값들 불러오기V=load('digitizing_data.txt'); % 불러온 전압값들을 340/5 를 곱해주어 Degree ... d2=[0 0 -38.5]'; d3=[2.5 0 -40]';d4=[2.5 0 -20]'; d5=[2.5 0 0]'; d6=[0 0 0]';Xb=[-18.7 0 -42 1]'; % 실험
    리포트 | 13페이지 | 3,500원 | 등록일 2021.05.30
  • 한글파일 전자공학응용실험 - 아날로그-디지털변환기 결과레포트
    실험 제목 : 실험 28. 아날로그-디지털 변환기 2. ... 실험 결과 : (1) 6Vpp, 10khz 정현파 입력할 때, 파형비교 (2) 10khz~1Mhz중 디지털코드가 제대로 나오는 최대 동작주파수=300khz의 파형 3. ... 고찰 : 실험회로1(3bit ADC)을 구성하고 Vpp=6v, Voff=3v, 주파수=10khz을 입력하여 입력 전압과 출력 디지털코드가 알맞게 작동하는지 확인하였다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.12.20
  • 워드파일 아주대학교 일반전자공학실험 Digital Dice A+결과보고서
    이것을 디지털 주사위로 구현하는 것이 이번 실험의 큰 주제이다. ... 숫자가 모두 표현된다 > 디지털 주사위가 잘 작동된다 > 디지털 주사위는 숫자를 표현하는 LED 부분, 컨버터, 카운터, 클락으로 구성된다 Lesson 11 : Digital Dice ... 그래서 Digital IO를 이용해서 카운트에 걸맞는 신호를 차례대로 주면서 디지털 주사위가 제대로 작동할 수 있는지 확인한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2022.05.14
  • 워드파일 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고서
    Lesson 5 Digital I/O 결과보고서 02 Exercise 5-1 Visualizing Digital Byte Patterns Exercise 5-1는 디지털 신호를 어떻게 ... 구하고, 555디지털 클락 회로를 설계하고 디지털 리더를 이용해 주기와 주파수 등을 구해보는 실험이였다. ... 즉 555디지털 클락 회로에서 나오는 사각파의 신호를 카운터에 연결해 숫자를 세려보는 실험이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.05.14
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 추가 실험 보고서
    Result Report PYNQ Intro 1. A1 Experiment A0 is the experiment which tries to show how FPGA actually works. First, we have to make a simple code which..
    리포트 | 24페이지 | 3,000원 | 등록일 2020.08.18
  • 한글파일 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 결과레포트 [참고용]
    실험 명 M2. 아날로그 및 디지털 기초 회로 응용 2. ... 그 후 논리게이트 출렵값을 디지털 입력으로 받아서 시리얼 모니터로 측정하는 방식으로 실험을 진행한다. ... 실험 개요 앞서서 진행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이하고 패키지 소자들을 이용하여 하드웨어 수작업으로 구현했던 회로와 비교했을 때 어떤 부분에서
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 한글파일 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    실험 명 M2. 아날로그 및 디지털 기초 회로 응용 2. ... 실험 개요 앞서서 진행했던 아날로그 및 디지털 기초 회로의 동작을 아두이노를 이용해 되풀이하고 패키지 소자들을 이용하여 하드웨어 수작업으로 구현했던 회로와 비교했을 때 어떤 부분에서 ... 실험기기 랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 저항, 스위치, LED, 디지털테스터, AND, XOR, OR게이트 5. 예비보고서 문제 풀이 1.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업