• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(170)
  • 리포트(168)
  • 자기소개서(2)

"xilinx ise" 검색결과 81-100 / 170건

  • 워드파일 실험2 제04주 Lab02 Pre 4 Bit Full Adder
    하지만 이번 실험은 Xilinx ISE를 통해 Program한 회로를 입력시켜주어 FPGA를 이용하는 기초적인 실험으로써 굉장히 중요한 실험이다. ... Introduction 1) Purpose of this Lab HBE-Combo Ⅱ-SE의 사용법을 숙지하고 Xilinx ISE를 통해 Half adder와 Full adder, ... Method 1) Procedure of Lab 1 ① Xilinx ISE를 실행하여 New source에 2-input XOR gate와 2-input AND gate를 이용하여
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 파일확장자 부산대 전자전기공학부 3학년 1학기 디지털 회로 설계 실험 4bit up 계산기
    계산기 잘 돌아가고요 a+받았던 소스입니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.11
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)2주차결과
    Post Report 주 제: Lab#02 『HBE-ComboⅡ-SE』 board, 『Xilinx Spartan3』 FPGA chip, 『ISE』 digital design tool ... FPGA chip과 Xilinx를 이용하여 사용자 요구에 맞게 프로그래밍이 가능하고 실현 가능하다. 라. ... Xilinx Spartan3 스파르탄 계열은 제품들 중 저가형 부품이며 버텍스 소자보다 동작속도가 느리다. 다.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전전컴설계실험2-7주차예비
    Xilinx ISE S/Wn을 실행 5. Generate Programming File 을 수행하여 bit 파일을 생성한다. 6. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 2:1 Mux를 설계한다.. 2. 2:1 Mux 를 Synthesize - XST Compile 과정을 거쳐 ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4:1 Mux를 설계한다.. 2. 4:1 Mux 를 Synthesize - XST Compile 과정을 거쳐
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 한글파일 결과보고서 #3
    실험 목표 기존에 배웠던 전가산기를 이용하여 4bit, 8bit의 병렬가산기를 Xilinx ISE를 이용해 여러 가지 방식(동작적,자료흐름,스키마틱)으로 설계하고 이를 이용해 원하는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 결과보고서 #1 - 기본 게이트 설계
    ISE’라는 프로그램이 굉장히 낯설었기 때문에 간단한 실험 진행과정 이었음에도 불구하고 생각보다 오랜 시간이 걸리게 되었기에 아쉬움이 남았다. ... 얼마나 다양하게 쓰일 수 있는지 알게 되었고 어떠한 진리표가 나오건 VHDL을 이용해 하드웨어를 설계할 수 있다는 자신감을 갖을 수 있었다. (2) A4_김윤섭 - 처음 사용하는 ‘Xilinx
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 워드파일 전전컴설계실험2-9주차예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 자판기동작회로를 설계한다.. 2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit up counter 를 설계한다.. 2. 4-bit up counter 를 Synthesize ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 8-bit up/down counter 를 설계한다.. 2. 8-bit up/down counter 를 Synthesize
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 전전컴설계실험2-10주차 예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit up/Down counter With 7Segment 를 설계한다.. 2. 4-bit up/Down ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 7Segment With Piezo 를 설계한다.. 2. 7Segment With Piezo 를 Synthesize ... 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다. (2)Materials of this Lab Computer & Xilinx ISE program ,HBE-ComboII-S
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 전전컴설계실험2-11주차 예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 Text LCD (학번,이름) 를 설계한다.. 2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 Text LCD (학번,이름) with Shift 를 설계한다.. 2. ... 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다. (2)Materials of this Lab Computer & Xilinx ISE program ,HBE-ComboII-S
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 한글파일 결과보고서 #2- 반가산기, 전가산기 설계
    Xilinx ISE 를 통해 4가지 방법(동작적, 자료흐름, 구조적 모델링, schematic design)에 의해 회로를 구현하고 시뮬레이션 하여 결과를 확인한다. 2.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 워드파일 전전컴설계실험2-9주차결과
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 자판기동작회로를 설계한다.. 2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit up counter 를 설계한다.. 2. 4-bit up counter 를 Synthesize ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 8-bit up/down counter 를 설계한다.. 2. 8-bit up/down counter 를 Synthesize
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습5 [예비레포트]
    Materials(Equipments, Devices) of this Lab (1) Xilinx ISE 다.
    리포트 | 16페이지 | 1,000원 | 등록일 2017.10.19
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습8 [예비레포트]
    Materials(Equipments, Devices) of this Lab (1) Xilinx ISE 다.
    리포트 | 18페이지 | 1,000원 | 등록일 2017.10.19
  • 워드파일 전전컴설계실험2-8주차결과
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register (Included Enable)를 설계한다.. 2. 4-bit Shift ... 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다. (2)Materials of this Lab Computer & Xilinx ISE program ,HBE-Cod ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register 를 설계한다.. 2. 4-bit Shift Register 를 Synthesize
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 전전컴설계실험2-11주차 결과
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 Text LCD (학번,이름) 를 설계한다.. 2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 Text LCD (학번,이름) with Shift 를 설계한다.. 2. ... 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다. (2)Materials of this Lab Computer & Xilinx ISE program ,HBE-ComboII-S
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 전전컴설계실험2-12주차 예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 Text LCD 를 설계한다.. 2. ... 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다. (2)Materials of this Lab Computer & Xilinx ISE program ,HBE-ComboII-S ... 절차와 방법 실험결과(Result) -측정 결과의 도식적 표현 -측정 결과의 설명 참고문헌(References) 1.Introduction. (1)Purpose of this Lab Xilinx에서
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    ISE 9.2i program, Spartan-3 Board 1개, JTAG cable 1개2. ... 즉, Excess-3 Input에 대하여 Decoder가 3-to-8 Decoder로 동작할 수 있도록 설계하는 것이다.2) MaterialsPersonal Computer, Xilinx
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 전전컴설계실험2-8주차예비
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register (Included Enable)를 설계한다.. 2. 4-bit Shift ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register 를 설계한다.. 2. 4-bit Shift Register 를 Synthesize ... 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다. (2)Materials of this Lab Computer & Xilinx ISE program ,HBE-ComboII-S
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 한글파일 Lab#05 Combinational Logic Design 2
    Materials -FPGA(Filed Programmable Gate Array)-XC3S200 -Xilinx ISE. ... 하지만, Xilinx의 특성상 Loop가 64번까지 반복되지 않기 때문에, 이론상 99까지의 Decimal의 값을 위의 코드로 표현하는 것이 가능하지만, 루프의 횟수를 초과하여 더
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 워드파일 전전컴설계실험2-7주차결과
    Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 BCD to Excess-3 Code Convertor를 설계한다.. 2. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 2:1 Mux를 설계한다.. 2. 2:1 Mux 를 Synthesize - XST Compile 과정을 거쳐 ... 0111 9 1001 1100 (3)Hypothesis of this Lab & Basis of the assumption 조합 논리 회로의 특성을 이해하고 실제 실험을 하기 전에 Xilinx
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 16일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:35 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기