• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL - 4_8 Ram, 4_8 Rom, fifo, lifo

*은*
최초 등록일
2006.11.04
최종 저작일
2004.07
7페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

4_8 Ram,
4_8 Rom,
FIFO(선입선출법 :First In First Out),
LIFO(선입선출법 :Last In First Out)

VHDL 소스 코드

컴파일 실행환경

MAXPLUS II V10.2

본문내용

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;

entity conram48 is
generic(Dsize : positive := 8 ;
Asize : positive := 4);
port(ADDRESS : in std_logic_vector(Asize-1 downto 0);
DIN : in std_logic_vector(Dsize-1 downto 0);
RAM_EN : in std_logic;
WEB : in std_logic;
OEB : in std_logic;
DO : out std_logic_vector(Dsize-1 downto 0));
end ;

architecture OPERATION of conram48 is
constant Aword : positive := 2**asize;
SIGNAL word : std_logic_vector(2**asize-1 downto 0);
type MEMORY is array (0 to Aword-1) of std_logic_vector(Dsize-1 downto 0);
signal RAM_TBL : MEMORY;
begin
decoder:PROCESS(address)
BEGIN
word <= "0000000000000000";
IF address = "0000" THEN
word(0) <=`1`;
elsif address = "0001" THEN
word(1) <=`1`;
elsif address = "0010" THEN
word(2) <=`1`;
elsif address = "0011" THEN
word(3) <=`1`;
elsif address = "0100" THEN
word(4) <=`1`;
elsif address = "0101" THEN
word(5) <=`1`;
elsif address = "0110" THEN
word(6) <=`1`;
elsif address = "0111" THEN
word(7) <=`1`;
elsif address = "1000" THEN
word(8) <=`1`;
elsif address = "1001" THEN
word(9) <=`1`;
elsif address = "1010" THEN
word(10) <=`1`;
elsif address = "1011" THEN
word(11) <=`1`;
elsif address = "1100" THEN
word(12) <=`1`;
elsif address = "1101" THEN

참고 자료

없음

프로그램소스 연관자료

자료후기(1)

*은*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
VHDL - 4_8 Ram, 4_8 Rom, fifo, lifo
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업