• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[프로젝트/발표] Smart Doorlock 제작

학점밴딩머신
개인인증판매자스토어
최초 등록일
2021.05.23
최종 저작일
2019.05
22페이지/파워포인트파일 MS 파워포인트
가격 5,000원 할인쿠폰받기
다운로드
장바구니

소개글

핸드폰 어플로 연동하여 음성 혹은 버튼으로 원격 조작 가능한 Smart Doorlock 제작 자료입니다.
상세한 코드 및 제작 방법 나와있습니다.

*슬라이드 노트에 상세한 설명

목차

1. 목표
2. 부품 설명
3. DEMO
4. 앞으로의 계획
5. 출처 및 질의응답

본문내용

아두이노와 블루투스는 SoftwareSerial로 연결되어있기 때문에 블루투스 통신을 위해 SoftwareSerial 라이브러리를 불러옵니다.
회로도에 꽂아둔 것처럼 RX핀은 2번 핀, TX핀은 3번 핀으로 설정합니다.
pinMode(9, OUTPUT) : 9번 핀을 출력으로 사용한다는 것입니다.
BTSerial.begin()은 블루투스로 데이터 전송할 때 데이터묶음의 전송속도를 설정하는 함수합니다.
BTSerial.available()은 데이터를 수신할 때 사용하는 함수입니다.

참고 자료

http://www.hardcopyworld.com/ngine/aduino/index.php/archives/1085
https://www.youtube.com/watch?v=o0Fx-lNJINQ&t=1825s
https://www.youtube.com/watch?v=O25t4_AulzQ
https://www.youtube.com/watch?v=3e6GMI4Szwk&list=PLf8roV9OYDiHCOrnXIlbrzbeQ0pJsaH8v
https://ko.wikipedia.org/wiki/%EC%95%84%EB%91%90%EC%9D%B4%EB%85%B8
학점밴딩머신
판매자 유형Silver개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
[프로젝트/발표] Smart Doorlock 제작
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업