• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

BCD 코드를 이용한 10진 가산기

*민*
최초 등록일
2008.10.05
최종 저작일
2008.05
33페이지/파워포인트파일 MS 파워포인트
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

BCD 코드를 이용한
10진 가산기 발표자료입니다.
직접프로그래밍한 내용까지 들어있습니다. 교수님께 칭찬받았던 자료임.

목차

가산기 (반가산기)
가산기 (전가산기)
10진 가산기 설계 계획
4bit 2진 가산기

본문내용

BCD 코드를 이용한
10진 가산기
가산기 (반가산기)
Digital design
May 6. 2008
Chonnam Uiv Electronics
- 1비트의 2개 2진수를 더하는 논리회로
- 2개의 입력과 출력으로 구성
- 2개 입력은 피연산수 x와 연산수 y 이고, 출력은 두 수를 합한
결과인 합 S(sum)과 올림수 C(carry)를 발생하는 회로
반가산기
입력
X Y
출력
C S
0 0
0 1
1 0
1 1
0 0
0 1
0 1
1 0
S = XY
C = XY
가산기 (전가산기)
Digital design
May 6. 2008
Chonnam Uiv Electronics
하위비트에서 발생한 올림수를 포함하여 3개의 입력 비트들의 합을
구하는 조합논리회로
- 3개의 입력과 2개의 출력으로 구성됨.
3개 입력은 피연산수 x와 연산수 y, 그리고 하위 비트에서 발생한
올림수 Ci 가 되고, 출력변수는 출력의 합 S(sum)과 올림수C(carry)를
발생하는 회로
전가산기
입력
X Y Z
출력
C S
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
0 1 1
0 0
0 1
0 1
1 0
0 1
1 0
1 0
1 1
가산기 (전가산기)
Digital design
May 6. 2008
Chonnam Uiv Electronics
S = X’Y’Z + X’YZ’ + XY’Z’ + XYZ
C = XY+XZ+YZ
2개의 반가산기와 캐리 OR 게이트로 구성된 전산기
곱의 합 형식의 전산기
10진 가산기 설계 계획
Digital design
May 6. 2008
Chonnam Uiv Electronics

참고 자료

없음

자료후기(1)

*민*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서 10페이지
    실험 이론 2진화 10진수 (binary coded decimal, BCD ... , 비교기의 성질을 이용해서 2진/BCD코드 변환기를 구성하였다. 4비트 ... 그림 4의 회로는 2진수를 2진화 10진수 (binary coded decimal
  • 한글파일 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정 5페이지
    전자계산기 구조 과제 BCD(Binary-Coded-Decimal code ... 10가지 코드만을 이용하여 10진수를 나타낸다. ... BCD(Binary-Coded-Decimal code) 구현 방법 BCD
  • 한글파일 논리회로설계 실험 디코더 인코더 6페이지
    . (4) BCD(binary-coded decimal) 이진화 십진법(Binary-coded ... 실험시간에는 이번에 작성하였던 반가산기이용해 전가산기를 작동하게 할 텐데 ... 예를 들어 10진수나 8진수를 입력으로 받아들여 2진수나 BCD와 같은 코드
  • 워드파일 전기및디지털회로실험 실험7 예비보고서 11페이지
    , 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 ... BCD 코드에 의한 산술 연산이 가능하며, 가산법에서는 두 가지 조건이 적용된다 ... 입력 단자에 대응하는 출력 단자의 조합 각각에 “1”의 신호가 나환시키는 10진2진인코더
  • 워드파일 BCD가산기 verilog 설계 2페이지
    제목 BCD 가산기 설계 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 ... 실습 내용 실습결과 Verilog설계 - BCD 가산기의 Verilog 코드 ... 이용10진수를 표현한 값이다.
더보기
최근 본 자료더보기
탑툰 이벤트
BCD 코드를 이용한 10진 가산기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업