vhdl 삼각파 발생기 코딩
- 최초 등록일
- 2007.03.08
- 최종 저작일
- 2006.08
- 한컴오피스
- 가격 1,000원
소개글
vhdl을 이용해 삼각파 파형을 만드는 예제입니다.
카운터를 응용해서 만들었습니다.
컴파일 실행환경
Modelsim에서 테스트 했습니다. 모든 vhdl코드에 동작합니다.
본문내용
② 테스트 벤치 파일
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity test is end test;
architecture testbench of test is
component tri is
port(clock, reset : in std_logic;
start_bit : in std_logic_vector(7 downto 0);
out_bit : out std_logic_vector(7 downto 0));
end component;
signal clock : std_logic :=`0`;
signal reset : std_logic := `1`;
signal out_bit,start_bit : std_logic_vector(7 downto 0) :="00000000";
begin
I0: tri port map(clock=>clock,reset=>reset,start_bit=>start_bit,out_bit=>out_bit);
reset <= `0` after 200 ns;
clock <= not clock after 100 ns;
end testbench;
참고 자료
없음