• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

vhdl 삼각파 발생기 코딩

*성*
최초 등록일
2007.03.08
최종 저작일
2006.08
한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

vhdl을 이용해 삼각파 파형을 만드는 예제입니다.
카운터를 응용해서 만들었습니다.

컴파일 실행환경

Modelsim에서 테스트 했습니다. 모든 vhdl코드에 동작합니다.

본문내용

② 테스트 벤치 파일

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity test is end test;

architecture testbench of test is
component tri is
port(clock, reset : in std_logic;
start_bit : in std_logic_vector(7 downto 0);
out_bit : out std_logic_vector(7 downto 0));
end component;
signal clock : std_logic :=`0`;
signal reset : std_logic := `1`;
signal out_bit,start_bit : std_logic_vector(7 downto 0) :="00000000";
begin
I0: tri port map(clock=>clock,reset=>reset,start_bit=>start_bit,out_bit=>out_bit);

reset <= `0` after 200 ns;
clock <= not clock after 100 ns;
end testbench;

참고 자료

없음

자료후기(1)

*성*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
vhdl 삼각파 발생기 코딩
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업