• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)

*성*
개인인증판매자스토어
최초 등록일
2014.06.13
최종 저작일
2014.04
24페이지/파워포인트파일 MS 파워포인트
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

PPT안에 모듈코드, 타이밍도, 타이밍밴치 포함되있습니다.

module DYB_vending(Clk, reset, choice, In, Change, exceed, Out);

//Input setting

input Clk;
input reset; // S0상태로 설정 (S0=0원)
input choice; // 선택 (1=음료선택,0=비활성화)
input [1:0]In; // IN을 2bit로 입력받음 (00=0원, 01=500원, 10=1000원 입력)

//output setting
//state -> register 로 지정

output reg Change; // 거스름돈 (1=잔돈반환, 0원으로 상태초기화)
output reg exceed; // 한도 상태를 2000원으로 설정하여 (초과시 1로 설정됨)
output reg [1:0]Out; // 출력물건 (00=출력안함, 01=생수(500원), 10=에너지드링크(1000원), 11=생과일쥬스(1500원)

//state 설정
reg [4:0] state; //현재상태
reg [4:0] next_state; //입력받은 다음상태

목차

1 설계목표
2 설계내용
3 Verilog 구현
4 타이밍 차트

본문내용

자판기에 투입되는 동전은 500원, 1000원 2가지로 가능합니다.

최대 합산할 수 있는 돈은 2000원입니다.
입력 받은 돈이 2000원을 초과할 수 없습니다.
초과될 경우 그대로 반환합니다.

자판기 판매 물품
생수 - 500원, 에너지드링크 - 1000원, 과일주스-1500
음료수를 선택하면 선택한 음료수가 나오고 그 가격만큼 차감 되고, 동전 반환 버튼을 눌렀을 때 현재 상태의 돈을 반환합니다.

<중 략>

module DYB_vending(Clk, reset, choice, In, Change, exceed, Out);

//Input setting

input Clk;
input reset; // S0상태로 설정 (S0=0원)
input choice; // 선택 (1=음료선택,0=비활성화)
input [1:0]In; // IN을 2bit로 입력받음 (00=0원, 01=500원, 10=1000원 입력)

//output setting
//state -> register 로 지정

output reg Change; // 거스름돈 (1=잔돈반환, 0원으로 상태초기화)
output reg exceed; // 한도 상태를 2000원으로 설정하여 (초과시 1로 설정됨)
output reg [1:0]Out; // 출력물건 (00=출력안함, 01=생수(500원), 10=에너지드링크(1000원), 11=생과일쥬스(1500원)


참고 자료

없음

자료후기(2)

*성*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업